85 //设置时钟IP核输出的时钟频率 86 clk_wiz_cfg(CLK_WIZ_ID,vd_mode.freq); 87 //初始化Display controller 88 DisplayInitialize(&dispCtrl, DISP_VTC_ID); 89 //设置VideoMode 90 DisplaySetMode(&dispCtrl, &vd_mode); 91 DisplayStart(&dispCtrl); 92 93 lv_init(); /* lvgl系统初始化 */ ...
其中“design_1_wrapper.v”为顶层例化代码、“hdmi_data_gen.v”负责产生用于HDMI输出的图像(图像包括纯色块、渐变条、彩色带等)、“design_1.bd”模块为block design模块,负责将RGB信号转换为TMDS信号以及提供ADV7511 HDMI芯片的底层驱动。 (2)“design_1.bd”模块的设计如下: “clk_wiz_0”为时钟产生模块,...
0, 0,ONLY_READ);8485 //设置时钟IP核输出的时钟频率86 clk_wiz_cfg(CLK_WIZ_ID,vd_mode...
8485//设置时钟IP核输出的时钟频率86clk_wiz_cfg(CLK_WIZ_ID,vd_mode.freq);87//初始化Display ...
86 clk_wiz_cfg(CLK_WIZ_ID,vd_mode.freq); 87 //初始化Display controller 88 DisplayInitialize(&dispCtrl, DISP_VTC_ID); 89 //设置VideoMode 90 DisplaySetMode(&dispCtrl, &vd_mode); 91 DisplayStart(&dispCtrl); 92 93 lv_init(); /* lvgl系统初始化 */ 94 lv_port_disp_init(); /* ...
width, vd_mode.height, 83 frame_buffer_addr,0, 0,ONLY_READ); 84 85 //设置时钟IP核输出的时钟频率 86 clk_wiz_cfg(CLK_WIZ_ID,vd_mode.freq); 87 //初始化Display controller 88 DisplayInitialize(&dispCtrl, DISP_VTC_ID); 89 //设置VideoMode 90 DisplaySetMode(&dispCtrl, &vd_mode); 91...
" proc_sys_reset "proc_sys_reset_150MHz" status "fixed"} clk_out3 {id "0" is_default "false" proc_sys_reset "proc_sys_reset_200MHz" status "fixed"} clk_out4 {id "3" is_default "false" proc_sys_reset "proc_sys_reset_50MHz" status "fixed"} } [get_bd_cells /clk_wiz_0]...
clk_wiz_0 ins ( // Clock out ports .clk_out1(clock_out1), // output clk_out1 .clk_out2(clock_out2), // output clk_out2 .clk_out3(clock_out3), // output clk_out3 .clk_out4(clock_out4), // output clk_out4 // Status and control signals ...
前文讲到,一个DSA文件中必须有一个默认时钟作为主时钟。在Platform Interfaces选项窗口中选择clk_wiz_0。 图1-7 选择并使能clk_wiz_0中的四个时钟 在下方的属性窗口中选择Options面板,勾选is_default属性 图1-8 勾选clk_out1为is_default 默认的clk_out1,clk_out2,clk_out3,clk_out4的id依次为1,2,3,...
create_clock -period 10.0 -name sys_clk -waveform {0.0 5.0} [get_ports {clk_in}] set_property -dict { PACKAGE_PIN J15 SLEW -fast IOSTANDARD LVCMOS33 } [get_ports {clk_in}] create_clock -name arm_pll_clk -period 10.0 [get_pins clk_wiz_0/clk_out1] 4. 验证配置后的时钟系统是否...