get_pins -filter {DIRECTION == IN} cmd_parse_i0/*/* 4. net 获取pin的property,如下: get_nets的使用方法如下: # 获取所有nets get_nets * # 获取名称中包含字符send_resp_val的网线 get_nets -hier *send_resp_val* get_nets -filter {NAME =~ *send_resp_val*} -hier # 获取穿过边界的同一...
这5个命令分别是get_cells、get_clocks、 get_pins、get_nets和get_ports。 1、根据名称查找 为便于说明,我们假定设计中有如图1所示的层次结构,其中,单元a1有三个输入引脚和一个输出引脚,b1和b2之间由一根网线连接。 模拟代码获取单元结果如下 没有跟随任何参数,就将设计顶层模块视为顶层 current_instance get_ce...
create_generated_clock -name clkdiv2 -source [get_ports clkin] -divide_by 2 [get_pins REGA/Q] #约束方法2,REGA的始终管脚作为源点 create_generated_clock -name clkdiv2 -source [get_pins REGA/C] -divide_by 2 [get_pins REGA/Q] 约束命令中使用**-source选项来设定上级时钟,但如上所示,该...
在Vivado中可以分别通过get_cells,get_ports,get_nets,get_pins和get_clocks这五个Tcl命令获取到它们。这五个命令是Vivado中最基本、最常用的Tcl命令,在后续的网表编辑中会用到它们。 这五个对象之间的附属关系图2所示。以cell和pin为例说明图中箭头的含义,如图3所示的网表。采用Tcl脚本1第1行命令,可通过get_...
例子:有GTX生成的RXOUTCLK输入到MMCM中,其中RXOUTCLK周期为3.33ns,占空比是50%。RXOUTCLK明显是一个生成的时钟,所以在约束中会用到get_pins。get_ports用在IO口上。 约束语句为:create_clock -name rxclk -period 3.33 [get_pins gt0/RXOUTCLK] ...
其中,-period参数指定时钟周期,-name参数指定时钟名称,get_pins参数指定差分对的起点。 set_input_delay set_input_delay -clock <时钟名称> -min <最小延迟>[get_ports <差分对终点>] 该命令用于设置差分对的输入延迟。其中,-clock参数指定时钟名称,-min参数指定最小延迟,get_ports参数指定差分对的终点。 set...
如上图所示,设计顶层的I/O称作ports,其余底层模块或是门级网表上的元件端口都称作pins。而包括顶层在内的各级模块,blackbox以及门级元件,都称作cells。连线称作nets,加上XDC中定义的clocks,在Vivado中一共将网表文件中的目标定义为五类。要选取这五类目标,则需用相应的get_*命令,例如get_pins等等。
例如,create_clock -period 10 [get_pins CLK]表示定义一个周期为10ns的时钟信号CLK。 二、create_generated_clock命令 create_generated_clock命令用于定义由时钟衍生而来的其他时钟信号,并将其用于时序分析和约束。它的语法格式为:create_generated_clock -name <时钟名称> -source <源时钟信号> -divide_by <...
create_clock -period 6.000 -name clkin1 -waveform {0.000 3.000} -add [get_ports CLKIN1] create_generated_clock -name gen_clk -source [get_pins PLLE2_ADV_inst/CLKOUT1] -multiply_by 2 -add -master_clock clkin2 [get_pins PLLE2_ADV_inst/CLKOUT0] ...
name) -waveform { (Traise), (Tfall) } [get_ports (clock port name)] 2.已建立的时钟改名 create_generated_clock -name (clock name) [get_pins (path)] 3.input/output delay设置 set_input_delay -clock [get_clocks (clock name)] (delay time ns) [all inputs] set_output_delay -clock...