此时需要用到选项-regexp,而命令get_cells、 get_nets和get_pins都支持该选项。-regexp可以和-hier同时使用。 #通过[0-4]限定了只获取buf0_reg的低5位setcell_e1[get_cells-hier-regexp{usbEngine0/u4/u0/buf0_reg\[[0-4]\]}]puts"#N: [llength $cell_e1]"#通过1[0-4]限定了只获取buf0_reg...
先指定蓝色cell,get_cells demuxState_reg,使用Tcl命令get_pins -of获得它的pins: get_pins -of [get_cells demuxState_reg] 得到上面绿色框内的pins; 再获得指定pin的cell,通过下面的Tcl命令找到响应pin的cell: get_cells -of [get_pins demuxState_reg] 通过特定的net找到相应的cell: 下面例子雷同: -hiera...
set_false_path -through [get_pins MUX1/a0] -through [get_pins MUX2/a1] #使用-through而不用-from和-to的好处是可以确保所有通过此节点的路径都会被移除,而不用考虑起点和终点 #移除复位端口到所有寄存器间的时序路径 set_false_path -from [get_port reset] -to [all_registers] #禁用两个异步时钟...
例子:有GTX生成的RXOUTCLK输入到MMCM中,其中RXOUTCLK周期为3.33ns,占空比是50%。RXOUTCLK明显是一个生成的时钟,所以在约束中会用到get_pins。get_ports用在IO口上。 约束语句为:create_clock -name rxclk -period 3.33 [get_pins gt0/RXOUTCLK] 之前总是不明白-name是什么意思,只知道是起个名字,但不知道...
create_clock-nameclk_fpga_0-period"20" [get_pins "PS7_i/FCLKCLK[0]"] set_input_jitter clk_fpga_0 0.6 get_ports # I/O ports, FPGA管脚 get_pins # cell pins, 模块接口 get_nets # nets,模块内部net 细节方面请参考xilinx厂家FAE的系列文章:http://xilinx.eetrend.com/article/8441 ...
可以设定多周期为2:et_multicycle_path 2 -setup -from [get_pins data0_reg/C] -to [get_pins data1_reg/D],那么就把setup检查的捕获沿延时至第二个捕获沿分析(第一个捕获沿无动作),相应的hold 也延时一个周期。如下图所示为设置前后的时序分析变化, ...
这五个对象之间的附属关系图2所示。以cell和pin为例说明图中箭头的含义,如图3所示的网表。采用Tcl脚本1第1行命令,可通过get_pins获取到该cell上的所有pin,返回值如第2行所示。采用第3行命令,可通过get_cells获取到该pin所在的cell,这是因为pin和cell是一一对应的。
group[get_clocks clk2]set_max_delay-datapath_only-from[get_cells ff1_reg]-to[get_cells ff_md_reg]2.000set_false_path-hold-from[get_cells ff1_reg]-to[get_cells ff_partial_false_reg]set_false_path-from[get_cells ff_asyn_reg]-through[get_pins LUT2_inst/I1]-to[get_cells ff_false...
例如,create_clock -period 10 [get_pins CLK]表示定义一个周期为10ns的时钟信号CLK。 二、create_generated_clock命令 create_generated_clock命令用于定义由时钟衍生而来的其他时钟信号,并将其用于时序分析和约束。它的语法格式为:create_generated_clock -name <时钟名称> -source <源时钟信号> -divide_by <...
get_pins pins在Vivado数据库中有个独特的存在形式,即 <instance>/<pin> 。这里的“/”不表示层次,而是其名字的一部分,表示这个pin所属的实体。也就是说,在使用get_pins 配合-hier来查找pins时,“/”可以作为名字的一部分,出现在搜索条件内(注意与上述get_ce lls和get_nets的使用区别)。