set_fanout_load:用于约束输出端口,设置该级扇出负载为N,告诉下一级cell,最大的max_fanout要至少大于N + 已知load。 而上面那句话介绍的set_max_fanout是用来约束输入端口的,限制前一级的扇出负载不能大于这级能承受的值。 6.4 几条易混淆命令解释
#做建立时间分析用最差情况set_operating_conditions-max“slow”#既要分析建立时间又要分析保持时间set_min_library-max slow.db-min_version fast.db#最好的库fast-用于hold time分析;set_operating_conditions-max slow-min fast#最差的库slow-用于setup time分析 (2) set_wire_load_model与set_wire_load_mo...
用set_max_fanout命令为设计设置最大扇出的设计规则的约束,例如: set_max_fanout 6 [get_ports IN1] 要注意set_max_fanout命令使用的是扇出负载(fanout_load),而不是绝对的扇出数目。端口的扇出负载之和必须小于最大扇出的设计规则的约束。进行上面的约束之后,DC在综合时会查看有没有违反规则,我们也可以自己查看...
set_ load命令帮助DC在综合时遵从驱动单元的最大电容设计规则,但该命令并没有为驱动单元的扇出提供独立的约束。在输出端口使用set_ fanout_ load命令时,我们可以为输出端口建立额外的预期扇出负载模型,综合时DC同时也会使内部驱动单元的最大扇出遵守设计规则的要求。 可以使用下面命令reportconstraint -allviolators查看是...
如果没有使用set_operating_condition明确指定操作条件,工具将自动使用逻辑库内定义的默认的操作条件。 可以使用report_design命令查看当前设计所使用的操作条件。 2. 接口特性 接口特性包括输入驱动(Input Driver)、输入输出负载(IO Load)及扇出负载(Fanout Load)等三个方面。 2.1 定义输入端口的外部驱动特性 外部驱动能...
DC定义设计环境时所使用的模型如下图所示,该步骤主要需要设置驱动(drive)和负载(load)相关的参数,使用set_drive命令设置输入端口的驱动电阻,使用set_load命令设置输出端口的负载电容,使用set_driving_cell设置驱动使用的基本单元,使用set_fanout_load设置预期扇出负载值,使用set_wire_load_model设置线负载模型(线负载模型...
DC定义设计环境时所使用的模型如下图所示,该步骤主要需要设置驱动(drive)和负载(load)相关的参数,使用set_drive命令设置输入端口的驱动电阻,使用set_load命令设置输出端口的负载电容,使用set_driving_cell设置驱动使用的基本单元,使用set_fanout_load设置预期扇出负载值,使用set_wire_load_model设置线负载模型(线负载模型...
可以使用set_dirive 和set_driving_cell 2.12 输出端口要驱动多大的负载? 使用set_load 对输出电容值进行约束,单位根据工艺库的define所定。 2.13 DC是基于path的综合,那么在约束时如何体现? 我们知道,基于path会有四种路径形式,DC中提供 create_clock 定义寄存器和寄存器之间的路径; ...
set_dont_retime true 代码语言:javascript 代码运行次数:0 运行 AI代码解释 # 如果需要:通过手动控制寄存器复制的个数 #最大扇出的情况: set_register_replication [-num_copies 3 | -max_fanout 40] [get_cells B_reg] # 如果需要:更改寄存器复制命名样式: set_app_var register_replication_naming_style "...
dc_shell> set_addribute find(pin, ex25/BUFFDO/Z) max_fanout 2.0 上述的命令可以写在synopsys-dc.setup文件中。 (单元的延时) 在一个单元的综合库中,最核心的是对时序和功耗的描述。一个单元的延时跟以下因素有关: 器件内部固有的延时、输入转换时间(也称为输入上升/下降时间)、负载(驱动的负载及连线)...