如果我们所用的所有库单元扇出负载为“1",那么setmaxfanout 1. 0 [allinputs]约束将强制所有的输人端口扇出数目为1,即它们只能与一个单元连接。否则,为了使输入端口只能与一个单元连接,我们要找出库中哪一个单元的扇出负载最小,在setmax_ fanout命令中使用这个值来保证在这个端口上只连接一个单元。如果单元上没...
如果我们所用的所有库单元扇出负载为“1",那么set_max_fanout 1. 0 [all_inputs]约束将强制所有的输人端口扇出数目为1,即它们只能与一个单元连接。否则,为了使输入端口只能与一个单元连接,我们要找出库中哪一个单元的扇出负载最小,在set_max_ fanout命令中使用这个值来保证在这个端口上只连接一个单元。如果单...
基本的设置:set_max_transition来设置当前设计能够容纳的最大transition;set_max_capacitance设置当前设计能够容纳的最大capacitance;set_max_fanout设置当前设计能够容纳的最大fanout。 其中,max transition和max capacitance都可以分别设置data path和clock path(时钟路径要求更高,且每个时钟可以单独设置)。 IO相关的设置:s...
如果我们所用的所有库单元扇出负载为“1",那么set_max_fanout 1. 0 [all_inputs]约束将强制所有的输人端口扇出数目为1,即它们只能与一个单元连接。否则,为了使输入端口只能与一个单元连接,我们要找出库中哪一个单元的扇出负载最小,在set_max_ fanout命令中使用这个值来保证在这个端口上只连接一个单元。如果单...
55set_max_capacitance:set_max_capacitancecapacitance_value[-data_path] [-clock_path]object_list Sets themax_capacitanceattribute to a specified value on the specified clocks, ports and n designs. 56set_max_fanout :set_max_fanoutfanout_value object_list ...
1set_operating_conditions 2set_wire_load_model和set_wire_load_mode 3setload 4set_drive或者set_driving_cell 4:设计规则约束 1set_max_transtion 2set_max_capacitance 3set_max_fanout 5:优化约束 1create_clock 2set_clock_uncertainty 3set_clock_latency 4set_input_delay 5set_output_delay 6set_fa...
(2)set_max_capacitance ; (3)set_max_fanout 5:优化约束 (1)create_clock (2)set_clock_uncertainty (3)set_clock_latency (4)set_input_delay (5)set_output_delay (6)set_false_path (7)set_multicycle_path(8)set_max_delay和set_min_delay (9)set_max_area 7:一些编译命令及DC的输出格式 注...
set_max_fanout 端口的扇出负载之和必须小于最大扇出的设计规则的约束。 这三项可以设置其中一项或几项。 5、面积约束 set_max_area 默认情况下,DC针对面积的优化是最小程度的。 如果设置面积的约束为0,那么DC将对面积做最大程度优化。 对于很大的设计,将面积约束设置为0可能会导致DC用于面积优化...
设计规则修整的介绍如下:工艺库中包括厂商为每个单元指定的设计规则。设计规则有:max_capacitance,max_transition和max_fanout。映射过程中,DC会检查电路是否满足设计规则的约束,如有违反之处.DC会通过插入缓冲器( buffers)和修改单元的驱动能力(resizes cells)进行设计规则的修整。修正设计规则的步骤如下所示: ...
(1)set_max_transtion (2)set_max_capacitance (3)set_max_fanout 5:优化约束 (1)create_clock (2)set_clock_uncertainty (3)set_clock_latency (4)set_input_delay (5)set_output_delay (6)set_false_path (7)set_multicycle_path (8)set_max_delay和set_min_delay (9)set_max_area 7:一些编译...