如果lib中没有X6尺寸的cell,则替换成X4的,没有X4则替换成X3的,没有X3则替换成X2的。 set clocks [get_clocks clk] set sources [get_attribute $clock sources] set pins [add_to_collection "" ""] set pins [add_to_collection -uniq $pins $sources] foreach_in_collection pin $pins { set cell...
= "" } {set ant_cell_name ${ant_cell_name}_} else {break}}create_cell $ant_cell_name */${antenna_diode_lib_cell}connect_net -net $tgt_net ${ant_cell_name}/${antenna_diode_pin}set_attribute [get_cells $ant_cell_name] origin $tgt_pin_coordset_attribute [get_cells $ant_cell_...
set tgt_pin_coord [lindex [get_attribute $tgt_pin bbox] 0 ] set tgt_pin_base_name [get_attribute $tgt_pin name] set cell_name [get_object_name [get_cells -of [get_pins $tgt_pin]]] set tgt_net [get_nets -of $tgt_pin] set ant_cell_name ${cell_name}_${tgt_pin_base_name...
获得Net的routing rule get_attr [get_nets xxx] routing_rule get_db net:$net_name .route_rule.name 或者Instance的ref name get_attr [get_cells $inst_name] ref_name get_db inst:$inst_name .base_cell.name get_property [get_cells $inst_name] ref_name 定义proc的属性和参数 define_proc_a...
icc2_shell> get_attribute [get_pins I_SDRAM_TOP/U82/Y] actual_rise_transition_max 0.093307 除了这个属性之外,还有fall和min/max,一共四种组合: Attribute Name Object Type Properties Constraints --- activity_type pin string A actual_fall_transition_max pin float A actual_fall_transition_min pin ...
dbGet [dbGetCellByName cellName].size List the nets marked in the db as clock net dbGet [dbGet -p top.nets.isClock 1].name Note: Before running the previous command, build a timing graph using the timeDesign command. Set all instances with a particular pattern in the name to fixed stat...
在工具运行结束后,Icer 通常都需要对timing path 进行分析,所谓的分析无非是得到想要的信息,timing path 在工具内部被当做一类 "object" 对待,而且每个工具都自定义了一系列的attribute/property 在timing path 上,在C 家的工具中可以用命令"list_property -type timing_path" 来得到timing path 所有自定义的...
比如,一般的命令都是,动词+下划线+名字的格式,例如,get_cell,get_net,set_attribute等等。这些规范会让初学者上手更快。而且命令也都非常的直白,很多直接看命令就可以知道这个命令的作用,并不一定需要查询在线手册。 而innovus,相对来说,各种命令比较杂乱。例如,有很多让人很困惑的命令,check_design, 以及其“兄弟...
MCU项目innovus中get_db使用 在Cadence Innovus环境中,get_db是一个非常有用的命令,用于从设计数据库中提取信息。 2023-05-24 10:01:33 innovus中的DanglingWire(悬垂线)的理解和处理 innovus里边有不少physical DRC检查工具,其中的verifyConnectivity 别有一番有趣的用法,借此机会,一起来看看其中的一个亮点。
Get all instTerm names that are tied to tieLo cells dbGet [dbGet -p [dbGet -p2 top.insts.cell.subClass coreTieLo].instTerms.net.allTerms.isInput 1].name Change the routing status of a net (for example, from FIXED to ROUTED)