list_property;#列出所有指定类型的object的propertyget_property;#获得指定的某一个object的property 举个具体使用的 list_property -type cell ;#这一步执行之后就会看到cell作为object的各种property,lib_cell就是cell的property get_property [get_cells ADDED_B*] lib_cell ; #用这一条获得名字为ADDED_B*的cel...
dbGet或get_db 报告物体的属性 -- report_property 获得Net的routing rule get_attr [get_nets xxx] routing_rule get_db net:$net_name .route_rule.name 或者Instance的ref name get_attr [get_cells $inst_name] ref_name get_db inst:$inst_name .base_cell.name get_property [get_cells $inst_na...
if {![get_property $tmp is_port]} { set inst [get_cells -of_object $tmp ] highlight [dbGet -p top.insts.name [get_property [get_cells $inst -hier] hierarchical_name]] -color cyan set net [get_nets -of_object $tmp] highlight [dbGet -p top.nets.name [get_property [get_nets...
用get_property会比较复杂,因为它不支持filter(可以借用filter_collection但是也很不方便,很难一条命令搞定),它也不支持在对象是clocks的情况下指定-view [DEV]innovus 736> get_property [get_clocks vclk1] period 7.000 6.500 [DEV]innovus 737> get_property [get_clocks vclk1] period -view dtmf_view_setu...
proc report_logic_depth {timing_path} { set total_logic_depth [get_property $timing_path num_cell_arcs] set bufinv 0 foreach_in_collection tp [get_property [get_property $timing_path timing_points] pin] { if { [get_property $tp object_type]“pin” && [sizeof_collection [filter_coll...
set_ccopt_property -balance_mode cluster ccopt_design -cts 是不是有种so easy的感觉?2.定位最长的clock path 做完clustering后就可以知道整体tree的长度。此时我们可以通过下面的命令报出所有skew group的最长和最短clock path。report_ccopt_skew_groups -summary 我们需要重点关注最长的clock path。也可以通过...
最后小编也给大家提供一份从timing path快速获取Drive Adjustment值的参考脚本。通过这个脚本希望大家能够熟悉利用get_property来获取timing path的相关属性。set path [report_timing -from in1 -path_type full_clock -collection]set launching_input_delay [get_property $path launching_input_delay]set points [get...
Get the status of the design dbGet top.statusIoPlaced dbGet top.statusPlaced dbGet top.statusClockSynthesized dbGet top.statusRouted dbGet top.statusRCExtracted dbGet top.statusPowerAnalyzed List the layers used in a net dbGet [dbGet -p top.nets.name netName].wires.layer.name ...
```setmem_pin [dbget [dbget top.insts.cell.name *RAM* -p2].instTerms.name *CLK]```foreach i$mem_pin{```puts"$i[get_property [get_pins$i] actual_latency_late_rise_max]"```}``` ``` - **Report all flop instances with reset pin connected to the supply** - - - - -...
set_ccopt_property -balance_mode cluster ccopt_design -cts 是不是有种so easy的感觉? 2.定位最长的clock path 做完clustering后就可以知道整体tree的长度。此时我们可以通过下面的命令报出所有skew group的最长和最短clock path。 report_ccopt_skew_groups -summary ...