8.将clock tree上小尺寸的cell换成正常尺寸 将时钟树上已存在的clock cell抓出来,如果尺寸小于X6则替换成X6的cell。如果lib中没有X6尺寸的cell,则替换成X4的,没有X4则替换成X3的,没有X3则替换成X2的。 set clocks [get_clocks clk] set sources [get_attribute $clock sources] set pins [add_to_collecti...
下面我们通过下图所示的电路结构来解析drive adjustment。为了模拟连接到输入端口的外部驱动器的驱动能力,我们这个例子通过 set_driving_cell 命令指定模块input的driver驱动为BUFX2,且这个信号到达的时间为5ns。create_clock –period 10 [get_ports clk1]set_input_delay 5 –clock clk1 [get_ports in1]set_dri...
基于TSMC 28nm的ARM Cortexa7core后端全流程实现训练营(已经开班,28期正在预约中)低功耗四核A7 Top Hierarchical Flow物理全流程实现训练营(新一期开放预约)复杂时钟结构Clock Gen设计的时钟树综合训练营(新一期6月初开班)T12nm ARM A72后端训练营 (已经开班)T12nm ARM双核A55后端训练营(五月份底开班)T28nm...
获得Net的routing rule get_attr [get_nets xxx] routing_rule get_db net:$net_name .route_rule.name 或者Instance的ref name get_attr [get_cells $inst_name] ref_name get_db inst:$inst_name .base_cell.name get_property [get_cells $inst_name] ref_name 定义proc的属性和参数 define_proc_at...
get所有连接到tie cell的instTerm名字 dbGet [dbGet -p [dbGet -p2 top.insts.cell.subClass coreTieLo].instTerms.net.allTerms.isInput 1].name 更改net的route属性(比如从 FIXED 到ROUTED) dbSet [dbGet -p top.nets.name netName].wires.status route 获得设计的状态 dbGet top.statusIoPlaced dbGet to...
set macro_list [get_db [get_db $hier .insts -if {.base_cell.base_class == "block"}] .name] if {$macro_list != ""} { selectInst $macro_list highlight -index $i deselectAll if {$i < 63} { incr i } else { set i 1 ...
我们不希望版图中出现任何Cell、Macro的PG存在Open,如果没有问题可以继续执行接下来的检查。 2、DRC检查 理论: 前面我们在第DFM那一节添加了Core Filler,如果有DeCAP的话需要优先添加DeCAP,而DeCAP是含有金属的,有可能会引起DRC或者Short问题,另外我们在绕线环节可能也会存在一些DRC,因此我们需要对版图里面的DRC进行检...
1.时钟路径上存在fixed的clock cell且cell摆放位置不合理 get_db [get_db clock_trees .insts -if { .place_status == fixed }] .name 2. floorplan相关 比如memory的channel留的不好,比如channel的blockage类型加的不对等。3.power domain相关 比如跨power domain的情况。数字IC后端时钟树综合专题(OCC电路...
reportPowerDomain verifyPowerVia verifyPowerDomain 可以用命令 "verifyPowerDomain -bind" 来检查是否所有的cell 都被link 到了对应的库上。 可以用命令 "verifyPowerDomain -place" 来检查cell 和power domain placement 的问题,如power domain 是否有 overlap, cell 是否放入了正确的power domain. ...
deleteTrialRoute#---# Add Filler#---getFillerMode -quiet addFiller -cell FILL1 FILL16 FILL2 FILL32 FILL4 FILL64 FILL8 \ -prefix FILLER -doDRC### Filler cell list depends on the tech you use#---# Metal fill#---# delete existing...