get_ports patterns :用于查找指定模式的端口(输入或输出)的集合 例如: get_portsscl get_cells patterns:用于查找设计中匹配指定模式的单元的集合 例如: get_cellsana_pll_instget_cellsclk_osc_re get_pins patterns:用于查找指定模式的引脚的集合 例如: get_pinsclk_osc_reg/Dget_pinsclk_osc_reg/Cget_pins...
另外一个需要注意的是上文中提到的get_cells -regexp -hierarchical ConfigRegs_i/bus_hsio_dly.*_fine_sel.*。这里面bus_hsio_dly是一个systemverilog中的interface,获取的对象是bus_hsio_dly接口中的cmph_fine_sel_reg信号,其表达方式应该是bus_hsio_dly.cmph_fine_sel_reg。此处我使用”.*“来匹配任...
get_cells -regexp -hierarchical {A_i0/.*/D_i0/start_reg} 3.3 特殊字符的获取 前面提到过一些特殊字符,比如?、.、/、[等等,如果需要匹配这些字符,需要用到转义符“\”。比如“\.”表示“.”这个字符本身,而不再是匹配符.。 比如在上文提到的get_ports -regexp {c2c_sync\[[0-9]{1,2}]}。这...
get_cells -regexp -hierarchical {A_i0/.*/D_i0/start_reg} 3.3 特殊字符的获取 前面提到过一些特殊字符,比如?、.、/、[等等,如果需要匹配这些字符,需要用到转义符“/”。比如“/.”表示“.”这个字符本身,而不再是匹配符.。 比如在上文提到的get_ports -regexp {c2c_sync/[[0-9]{1,2}]}。这...
收发器通道位置约束:set_property LOC “ GTXE2_CHANNEL_X* Y * ” [get_cells “gtxe_2例化路径”] ##--- Set placement for gt0_gtx_wrapper_i/GTXE2_CHANNEL --- set_property LOC GTXE2_CHANNEL_X0Y8 [get_cells gtx_support_i/gtx_init_i/inst/gtx_i/gt0_gtx_i/gtxe2_i] ##--- Set...
[get_cells inst1] 该约束中,第一条约束会覆盖第二条约束。 Example3: set_max_delay 4 -through [get_pins inst0/I0] set_max_delay 5 -through [get_pins inst0/I0] -through [get_pins inst1/I3] 这个约束中,两条都会存在,这也使得时序收敛的难度更大,因为这两条语句合并成了: ...
[get_cells inst1] 该约束中,第一条约束会覆盖第二条约束。 Example3: set_max_delay 4 -through [get_pins inst0/I0] set_max_delay 5 -through [get_pins inst0/I0] -through [get_pins inst1/I3] 这个约束中,两条都会存在,这也使得时序收敛的难度更大,因为这两条语句合并成了: ...
● 示例:set_false_path -from [get_cells a/b/c/*_meta*] -to [get_cells a/b/c/*_sync*] ● 优势:灵活、针对性好、便于时序分析和调试。 ● 劣势: 1) 逐条约束会占用大量时间来调试和分析,效率低下。 2) 时序例外的优先级比较复杂,多种时序例外约束共存的情况下,很容易产生意想不到的冲突,进...
get_cells a_inst / b_inst / c_inst -hier选项可以在任何地方查找单元格 get_cells -hier c_...
● 示例:set_false_path -from [get_cells a/b/c/*_meta*] -to [get_cells a/b/c/*_sync*] ● 优势:灵活、针对性好、便于时序分析和调试。 ● 劣势: 1) 逐条约束会占用大量时间来调试和分析,效率低下。 2) 时序例外的优先级比较复杂,多种时序例外约束共存的情况下,很容易产生意想不到的冲突,进...