get_clocks后面的对象是我们之前通过create_clocks或者create_generated_clocks创建的时钟,不在硬件上直接映射。 我们再来看下各个命令的属性。 1. port 我们可以通过Tcl脚本查看port的所有属性,比如上面的wave_gen工程中,有一个port是clk_pin_p,采用如下脚本: set inst [get_ports clk_pin_p] report_property $in...
这5个命令分别是get_cells、get_clocks、 get_pins、get_nets和get_ports。 1、根据名称查找 为便于说明,我们假定设计中有如图1所示的层次结构,其中,单元a1有三个输入引脚和一个输出引脚,b1和b2之间由一根网线连接。 模拟代码获取单元结果如下 没有跟随任何参数,就将设计顶层模块视为顶层 current_instance get_ce...
(1)引脚分配设置 “pin name”是芯片的引脚,ports是工程中定义的模块名 set_property PACKAGE_PIN <pin name> [get_ports <ports>] (2)引脚电气标准设置 “IOSTANDARD”是电气标准,如LVCMOS18、LVCMOS12、LVCMOS33 set_property IOSTANDARD <IO standard> [get_ports <ports>] (3)引脚驱动能力设置 set_propert...
需要注意的是,当使用get_ports时,不能使用-hierarchical选项。因为ports应该位于顶层,没有层次之分。 -regexp是指本次匹配将使用正则表达式,是必须使用的。 以下是一个使用-hierarchical的例子和注意事项。 首先看第一行蓝色的get_cells命令,这里用来获得ConfigRegs_i这个实例内的满足bus_hsio_dly/。.*_fine_sel....
get_cells/get_nets 不同于ports仅指顶层端口,要定位cells和nets则相对复杂,首先需要面对层次的问题。这里有个大背景需要明确:Vivado中Tcl/XDC对网表中目标的搜索是层次化的,也就是一次仅搜索一个指定的层次current_instance,缺省值为顶层。 以下图所示设计来举例,若要搜索A(不含a1,a2)层次内的所有cells和名字中...
set_property PACKAGE_PIN "引脚编号" [get_ports "端口名称"] 1. 电平信号的约束如下: set_property IOSTANDARD "电平标准" [get_ports "端口名称"] 1. 这里区分大小写。端口名称是数组的话,用{}括起来,端口名称必须与源代码中的名字一致,且端口名字不能和关键字一样。
措施:可以在XDC约束文件中加入时钟约束:set_property IOSTANDARD LVCMOS33 [get_ports sys_clk] set_property PACKAGE_PIN R4 [get_ports sys_clk]。 15. [Common 17-55] 'set_property' expects at least one object。 原因:XDC约束文件中存在一个无用的管脚约束,可能是上个设计遗留或者疏忽造成。
例子:有GTX生成的RXOUTCLK输入到MMCM中,其中RXOUTCLK周期为3.33ns,占空比是50%。RXOUTCLK明显是一个生成的时钟,所以在约束中会用到get_pins。get_ports用在IO口上。 约束语句为:create_clock -name rxclk -period 3.33 [get_pins gt0/RXOUTCLK] ...
create_clock-period10.000-name clk1-waveform{1.0006.000}[get_ports clk1]create_clock-period8.000-name clk2-waveform{0.0004.000}[get_ports clk2]create_clock-period8.000-name clk3-waveform{0.0004.000}[get_ports clk3] 4.2 Report Timing报告 ...
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets (port_name)] 7.管脚拉高 set_property PULLUP true [get_ports (port name)] 8.当vivado报错说有某些管脚没有分配时,加下面两句 set_property SEVERITY {Warning} [get_drc_checks NSTD-1] set_property SEVERITY {Warning} [get_drc_checks UCIO-1]...