kdb选项是vcs选项,在进行vcs仿真时可以加入kdb选项;加入kdb选项后,vcs仿真会生成verdi的库文件,通常库文件为sim.daidir,库文件里面包含相应代码信息;利用库文件verdi可以快捷打开rtl代码而不需要重新编译; verdi利用daidir打开代码办法 verdi -dbdir simv.daidir & verdi -simflow -simBin simv & verdi可以直接通过以...
如果仿真工具用的是vcs,在加了-kdb编译选项后,可以直接用verdi -ssf xxx.fsdb &命令打开波形。 verdi -dbdir simv.daidir/打开仿真器数据库(database)文件夹,其中存放的是包含了编译信息的中间数据。 verdi -ssf xxx.fsdb &打开fsdb波形文件,或vf文件(virtual fsdb,vf是把多个fsdb波形合在一起),& 表示在后...
vcs -full64 -sverilog -ntb_opts uvm-1.2 -lca -kdb -debug_access+reverse 除了常规的选项以外,-kdb选项是用于生成kdb数据库,支持verdi的联合调试,而lca是kdb的依赖选项。 最后的-debug_access+reverse则是最关键的开关,需要加上这个选项才是实现反向运行。 编译完成以后,进行仿真的时候,需要使用./simv -verd...
第一步,调用VCS生成simv。 vcs -full64 -sverilog -debug_all -lca -kdb -timescale=1ns/1ps <verilog_file_name> 这里使用了-sverilog选项开启SystemVerilog支持。添加-kdb选项支持输出KDB格式的数据,用于与Verdi在交互模式交换数据,而KDB格式属于"Limited Customer Availability"特性,必须通过-lca选项开启。 第二...
除了常规的选项以外,-kdb选项是用于生成kdb数据库,支持verdi的联合调试,而lca是kdb的依赖选项。 最后的-debug_access+reverse则是最关键的开关,需要加上这个选项才是实现反向运行。 编译完成以后,进行仿真的时候,需要使用./simv -verdi,启动仿真。这样就能够直接调用verdi进行联合仿真。
除了常规的选项以外,-kdb选项是用于生成kdb数据库,支持verdi的联合调试,而lca是kdb的依赖选项。 最后的-debug_access+reverse则是最关键的开关,需要加上这个选项才是实现反向运行。 编译完成以后,进行仿真的时候,需要使用./simv -verdi,启动仿真。这样就能够直接调用verdi进行联合仿真。
1. 在vcs编译时添加-kdb选项来开启UFE工作流 2. 编译后加载波形和设计,两种方法: 一种是加载没有FSDB的设计,即运行: verdi -dbdir <path>/sim.daidir 另一种是加载有FSDB的设计,即运行: verdi -ssf <my>.fsdb• pre-load工作流 在大型设计的仿真调试时,可以使用pre-load工作流来实现较快的打开速度和...
makefile中加上-lca -kdb -debug_access+all+reverse 运行./simv –verdi &启动verdi之后,需要打开verdi的回退仿真功能: tools->Preferences中设置 设置几个断点;在verdi的console中,输入run,启动仿真; 点击run/continuereverse回退仿真 此时,执行的光标,回到了断点代码的前一行。此时仿真的状态就回到了执行上一条指...
makefile中加上-lca -kdb -debug_access+all+reverse 运行./simv –verdi &启动verdi之后,需要打开verdi的回退仿真功能: tools->Preferences中设置 设置几个断点;在verdi的console中,输入run,启动仿真; 点击run/continue reverse回退仿真 此时,执行的光标,回到了断点代码的前一行。此时仿真的状态就回到了执行上一条...
即vcs -debug_access+all -kdb -lca makefile的vcs目标,对代码进行编译,生成simv。 生成simv后,要增加一个选项,-gui=verdi,表示使用verdi这个工具进行单步调试。 makefile的sim目标,代码仿真,进行单步调试。 执行make vcs; make run 后,会弹出verdi界面,并且停在0时刻。