verilog保存的波形可以是ASCII形式的VCD格式,也可以是FSDB的二进制格式,这里就只说一下怎么保存FSDB文件,保存波形文件调用的是下面的系统函数 $fsdbDumpfile(filename); $fsdbDumpvars(level,path); 注意肯定是先dump文件再dump数据。在testbench中加入这个语句块编译(编译需要又-debug_access)再执行结束之后就可以看到...
早期的VCS版本和现在的VCS版本默认是不支持fsdb相关函数。早期的VCS需要支持这些函数,需要使用-fsdb选项单独加在VCS命令中,现在的VCS版本将-fsdb舍弃,加在了-debug_access选项中,因此现在的vcs只要有-debug_access选项,默认就可以使用这些函数。另外一种直接引用这些函数的方法是指定-P选项,包括了tab文件(如novas.tab...
//运行时选项;出现delta的delay现象,方便debug的wire-delay -debug_access选项进行编译会禁用 VCS 优化,这会影响性能。-debug_access选项禁用较少的优化; -debug_access+all选项则会禁用所有优化。(表-debug_access 对性能的影响) 请注意,在运行时使用大量用户界面命令(如force或release)会对性能产生巨大影响。 为了...
在cfg.sv里打开以下开关 2.编译选项 +define+SVT_PCIE_INCLUDE_AC_PA +define+SVT_FSDB_ENABLE -lca -kdb -debug_access 3.仿真选项 +svt_enable_pa=FSDB 4.用verdi打开波形 -> 选择 Window -> 选择Protocol Debug Mode,可查看LTSSM、Ordered_Set、Transactions等,右键选择拖至窗口可在时间轨上查看,如下图...
-debug_access+all:提高仿真性能; -l:生成编译报告; 2.3.5simulate仿真 在tb_top目录下执行make run,仿真完成后就会看到在仿真顶层文件的tb.fsdb波形文件,用于Verdi软件打开波形。 2. 3.6Verdi波形文件 在tb_top目录下执行make verdi,则Verdi软件打开了仿真的波形文件,选择打开波形文件后,添加所需查看的信号就可以...
-debug_access+all \ -kdb \ -timescale=1ns/1ps \ -l com.log #修改vcs -full64 \,添加-cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,--no-as-needed \ 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 如果操作系统没有安装gcc 4.8,会报错: ...
然后用vcs编译的时候加上-debug_access+all -kdb -lca 注意这里-kdb是属于lca这个feature的,因此这两个都要加上。这一步实际上就是产生verdi能用的编译信息。 最后编译好simv之后有两种调用方式:一是交互式模式,即用simv -verdi打开verdi,在verdi中进行仿真的运行和调试;二是后处理模式,即仍然用simv跑出fsdb波形...
(5)FSDBDUMP是一个变量,决定要不要dump波形,等于1表示dump,等于0表示不看波形,只看log。 FSDBDUMP=1;VCS_OPTIONS=-sverilog +vcs+lic+wait +define+FOR_SIM +v2k +lint=all,noTMR,noVCDE -debug_access+all +memcbk\+notimingcheck +nospecify\-P /Verdi/share/PLI/VCS/LINUX/novas.tab\/Verdi/share...
-debug_access+all +vc +v2k -kdb -l vcs.log -f dut.f +define+DUMP_FSDB=1 -top test sim: ./simv -l simv.log clean: rm -rf *~ core csrc simv* vc_hdrs.h ucli.key urg* *.log novas.* *.fsdb* verdiLog 64* DVEfiles *.vpd ...
VCS= vcs -sverilog -timescale=1ns/1ns +vpi -l build.log -debug_access+all SIMV = 。/simv -l simv.log ifndef TB_SEED TB_SEED = 1024 endif all: comp run comp: $(VCS) +define+TB_SEED=$(TB_SEED) +incdir+. adder8.v adder8_tb.v run: $(SIMV) +fsdbfile+top.fsdb dbg: ...