一步执行 我们发现开启了ucli之后,需要手动敲入dump指令和run指令。有一些不方便,特别是批量跑脚本时。 其实我们可以把几条指令写成一个tcl文件dumpfsdb.tcl,例如: # --**-- dumpfsdb.tcl --**-- # dump fsdb fsdbDumpfile wave.fsdb fsdbDumpvars 0 tb # run run 同时,vcs或者simv的参数也改进一下: v...
vcs-R-ucli...# 或./simv-ucli... 再在ucli模式下执行dump fsdb指令,如下。格式与在verilog testbench中用的fsdbDumpfile()和fsdbDumpvars()两个PLI task一样。 代码语言:javascript 代码运行次数:0 运行 AI代码解释 ucli>fsdbDumpfile wave.fsdb ucli>fsdbDumpvars0tb 最后,再ucli模式下,运行run指令继续完...
2、如果要dump DUT设计中的某一部分波形,则改变dump -add后面的top.DUT的层次路径就可以。 3、可以添加多行dump -add 语句来增量添加要dump的设计层次波形,参考: dump-add top.DUT.A-depth0-fid VPD0dump-add top.DUT.B-depth0-fid VPD0dump-add top.DUT.C-depth0-fid VPD0... 4、如果要dumpfsdb文...
二、FSDB (Fast Signal DataBase)FSDB 是Spring Soft (Novas) 公司 Debussy / Verdi 支持的波形文件,一般文件占用内存较小,使用较为广泛,其余仿真工具如ncsim,modlesim等等可以通过加载Verdi 的PLI 来dump fsdb文件。fsdb文件是verdi使用一种专用的数据格式,类似于VCD,但是它是只提取仿真过程中信号的有用信息,除去...
二、FSDB (Fast Signal DataBase) FSDB 是Spring Soft (Novas) 公司 Debussy / Verdi 支持的波形文件,一般文件占用内存较小,使用较为广泛,其余仿真工具如ncsim,modlesim等等可以通过加载Verdi 的PLI 来dump fsdb文件。fsdb文件是verdi使用一种专用的数据格式,类似于VCD,但是它是只提取仿真过程中信号的有用信息,除...
Verdi主要用于生成fsdb模型,同VCS使用的vcd文件相比,verdi使用的fsdb相当于vcd文件经过霍夫编码压缩之后的精简版,可用于查看fsdb波形并追踪RTL代码。 虽说verdi、modelsim都是用来调试波形, modelsim与verdi相比,最大的缺点是波形不会全dump,wave窗口拉不全的话需要重新跑,而verdi边运行边查看。具体做法是,在使用tcl指令...
一、testbench中控制dump fsdb 1.1 testbench中加入的代码 1.2、开启记录波形 二、vcs仿真命令控制dump fsdb 三、irun仿真命令控制dump fsdb 总结 前言 每当仿真需要记录波形文件的时候,都需要满世界去找那几个系统函数,这里记录一下几种dump fsdb波形的方法,方便下次快速查找。
1. $fsdbDumpvars命令 $fsdbDumpvars命令用于将指定变量的波形数据导出到FSDB(Fast Signal Database)文件中。例如,要将模块top中的信号a的波形数据导出到FSDB文件,可以使用以下命令: $fsdbDumpvars(0,top.a,+mda) 上述命令中,0表示FSDB文件的索引号,top.a是要导出的信号,+mda表示导出信号的元数据。 2. run命...
initial begin $fsdbDumpfile("tb_top.fsdb"); //产生波形的名字 $fsdbDumpvars(0,tb_top); //testbench的名字 $fsdbDumpSVA(); $fsdbDumpMDA(); end veri命令 verdi -sv \ #Systemverilog的支持 +v2k \ #兼容verilog 2001 以前的标准 -f ***.f \ #添加.f文件里的源码 -ssf tb_top.fsdb \...
1.3.dump波形 1.3.1 使能波形dump 无论采用系统函数或ucli dump波形,都必须采用如下option中的一个进行波形dump的使能; (1) -debug_access (2) -fsdb (3) -P $VERDI_LIB/novas.tab $VERDI_LIB/pli.a 1.3.2 采用系统函数进行波形dump (1) code内部调用系统函数,如下所示; ...