退而求其次,再做几个简化度低一些的宏: `define prj_low(str,id=get_full_name()) \`uvm_info(id, str, UVM_LOW)`define prj_mid(str,id=get_full_name()) \`uvm_info(id, str, UVM_MEDIUM)`define prj_high(str,id=get_full_name()) \`uvm_info(id, str, UVM_HIGH) 这样多少还是能省...
26 void'(uvm_config_db#(int)::get(this.m_parent, "i_agt.drv", "pre_num", drv_pre_num)); 27 `uvm_info("my_model", $sformatf("after get, the pre_num is %0d", drv_pre_num), UVM_LOW) 28 endfunction Verified: `uvm_info(get_full_name(), $sformatf("Value of payload[%...
26 void'(uvm_config_db#(int)::get(this.m_parent, "i_agt.drv", "pre_num", drv_pre_num));27 `uvm_info("my_model", $sformatf("after get, the pre_num is %0d", drv_pre_num), UVM_LOW)28 endfunction Verified:`uvm_info(get_full_name(), $sformatf("Value of payload[%0...
1.UVM 真正的树根是uvm_top(为什么get_full_name()不打印这个呢?),如下:1)uvm_top 是全局变量,也是uvm_root的实例,也是唯一的实例。2)uvm_root 派生自uvm_component,所以uvm_top 本质上也是uvm_component,它是树的根。3)uvm_tes 全局变量 子节点 原创 tingtang13 2023-07-31 17:32:57 177阅读 1评...