set_name --- 设置此对象的实例名,覆盖先前给出的任何名称。 get_name --- 返回对象的名称,由new构造函数中的name参数或set_name方法提供。 get_full_name --- 返回此对象的完整层次名字。 get_type --- 返回此对象的类型代理(包装器)。 get_type_name --- 此函数返回这个对象的类型名字,这个类型名字通...
1.function void uvm_object::set_name (string name); //设置leaf_name 2.function string uvm_object::get_name ();//返回leaf_name(由new设置或者通过set_name更改) 3.function string uvm_object::get_full_name ();//调用2 1. 返回对象的全部层次名,The default implementation is the same as get...
uvm_object l_obj; \ if(local_data__.ARG.get_name() == "") local_data__.ARG.set_name(`"ARG`"); \ l_obj = local_data__.ARG.clone(); \ if(l_obj == null) begin \ `uvm_fatal("FAILCLN", $sformatf("Failure to clone %s.ARG, thus the variable will remain null.", local...
static function T create (string name="", uvm_component parent=null, string contxt=""); uvm_object obj; uvm_factory f = uvm_factory::get(); if (contxt == "" && parent != null) contxt = parent.get_full_name(); obj = f.create_object_by_type(get(),contxt,name); if (!$c...
通过comp.get_parent(),可以返回comp的parent_comp 通过comp.get_child(name),name为child实例化的名字,可以返沪comp的child。(感觉没什么用,直接引用不香吗?) 3.3 field_automation机制 3.3.1field_automation机制的相关宏(如何注册) 将不同的类型进行域的自动化。就是将整数、实数、枚举类型等进行注册 ...
class uvm_object_registry #(type T=uvm_object, string Tname="<unknown>") extends uvm_object_wrapper; typedef uvm_object_registry #(T,Tname) this_type; local static this_type me = get(); // Function: get // // Returns the singleton instance of this type. Type-based factory operation...
functionbitcompare(uvm_object rhs,uvm_comparer comparer=null);functionvoidrecord(uvm_recorder recorder=null);...// These two functions have to be redefined by child classesvirtualfunctionuvm_object create(stringname="");returnnull;endfunctionvirtualfunctionstringget_type_name();return"";endfunction...
functionnew(stringname="my_test",uvm_componentparent=null); 26 super.new(name,parent); 27 endfunction 28 29 functionvoidbuild_phase(uvm_phasephase); 30 super.build_phase(phase); 31 obj=my_object::type_id::create("obj",this);
function int get_report_action( uvm_severity severity, string id ) Gets the action associated with reports having the given severity and id.get_report_file_handle function int get_report_file_handle( uvm_severity severity, string id ) Gets the file descriptor associated with reports having the ...
(get_type_name(),$sformatf("Start pack"),UVM_LOW)// Randomize the first object, print and pack into bit array, then displaym_pkt.randomize();m_pkt.print();m_pkt.pack(m_bits);`uvm_info(get_type_name(),$sformatf("packed m_bits=%p",m_bits),UVM_LOW)// Randomize the first ...