intwr_sig=66;initialbeginuvm_config_db#(int)::set(null,"uvm_test_top.fifo_env.wr_agt.sqr.*","wr_sig",wr_sig);end 在sequence中进行get: intwr_sig;uvm_config_db#(int)::get(null,get_full_name(),"wr_sig",wr_sig);`uvm_info(this.name,$sformatf("wr_sig=%0d.",wr_sig),UVM...
virtualtaskpre_body();if(uvm_config_db#(int)::get(null, get_full_name(),"count", count)) `uvm_info("seq0", $sformatf("get count value %0d via config_db", count), UVM_MEDIUM)else`uvm_error("seq0","can't get count value!") #10000; uvm_config_db#(bit)::set(uvm_root::...
uvm_config_db#(int)::set(null,"uvm_test_top.fifo_env.wr_agt.sqr.*","wr_sig",wr_sig); end 1. 2. 3. 4. 在sequence中进行get int wr_sig; uvm_config_db#(int)::get(null,get_full_name(),"wr_sig",wr_sig); `uvm_info(this.name,$sformatf("wr_sig=%0d.",wr_sig),UVM_LO...
initialbeginuvm_config_db#(virtual my_if)::set(null,"uvm_test_top.env.i_agt.drv","vif", input_if);endinitialbeginuvm_config_db#(virtual my_if)::set(uvm_root::get(),"uvm_test_top.env.i_ag t. drv","vif", input_if);end get函数的参数灵活设置 uvm_config_db#(int)::get(this...
uvm_config_db#(int)::set(this,"env.i_agt.sqr.*","count",9);uvm_config_db#(int)::get(null,get_full_name(),"count",count); 值得关注的是get的第一个餐宿。在component中这个参数一般为this指针,然而sequence不是component,因此要使用null或uvm_root::get(),再加上get_full_name(),就可以完...
4.2 在sequence中get参数 1. 在test中设置sequence中参数count如: uvm_config_db#(int)::set(this,"env.i_agt.sqr.*","count",9);注意:由于sequence实例化名字不固定,路径中对应的sequence实例化名字要使用通配符。 2. 在sequence中使用get: uvm_config_db#(int)::get(null,get_full_name(),"count",...
在UVM中,可以使用get_full_name()函数来获取一个component的完整路径,这个函数也可以在sequence中使用。 为了在sequence中设置参数,可以使用config_db::set函数。在set函数的原型中,第一个参数必须是一个component,而sequence不是一个component,所以不能使用this指针。可以通过使用null或者uvm_root::get()作为第一个...
三、config_db配置sequence 3.1.默认执行的sequence 3.2.配置sequence——get_full_name() / m_sequencer 3.3.配置sequence——get_sequncer() 一、sequence的执行流程 uvm_sequence_item(包装数据):只能对数据进行封装,不存在自动执行的函数; uvm_sequence(生产数据):具有可自动执行的函数,可通过body()函数进行可...
uvm_config_db#(int)::get(null, get_full_name(), "count", count)); 在get函数原型中,第一个参数必须是component,而sequence不是一个component,所以这里不能使用this指针,只能使用null或uvm_root::get()。 (2)在sequence中设置参数 uvm_config_db#(bit)::set(uvm_root::get(), "uvm_test_top.env...
uvm_config_db#(int)::get(null, get_full_name(), "count", count)); 在get函数原型中,第一个参数必须是component,而sequence不是一个component,所以这里不能使用this指针,只能使用null或uvm_root::get()。 (2)在sequence中设置参数 uvm_config_db#(bit)::set(uvm_root::get(), "uvm_test_top.env...