在test.env中get:class my_env extends uvm_env;my_config cfg;...function void bulid_phase(uvm_phase phase);...if(!uvm_config_db#(my_config)::get(this,"","cfg",cfg))begin`uvm_fatal(" get config object failed")end...endfunctionendclass ...
uvm_config_db#(virtual my_if)::set(null, "uvm_test_top", "vif", input_if); 2. 收信(Get): 在`my_driver`或其他UVM组件中,他们在开始工作之前会通过`uvm_config_db#(...)::get(...)`来“收信” - 即查找并获取接口实例的地址。 // 在my_driver中 - 收信 virtual my_if vif; if (...
(uvm_phase phase); super.build_phase(phase); // create the environment e0 = env::type_id::create("e0", this); // Get virtual IF handle from the top level and pass it to everything // in the env level if(!uvm_config_db#(virtual des_if)::get(this, "", "...
`uvm_info("CFG_GET", $sformatf("Attempting to retrieve dif_pkt_type for %s", get_full_name()), UVM_LOW); //if (!uvm_config_db#(diff_packet)::get(this, "", "dif_pkt_type", my_dif_pkt_type)) begin if (!uvm_config_db#(diff_packet)::get(this, get_full_name(), "dif_...
I have been trying to set queue values in config db at run phase of test and trying to get that using uvm_config_db in sequence but I am not successful in this(nothing is been obtained in the get call from uvm_config_db), only below mentioned error appears, please let me know were...
In any of the components,I am using uvm_config_db#(int)::get(null,get_full_name(),“variable”,a); Instead of using, uvm_config_db#(int)::get(this,“”,“variable”,a); still both are working,what is the difference between…
I have a few questions related to config_db working and also parameters related to set/get function. what is the difference between uvm_component context parameter which is the 1st parameter for the set function and st…
set和get方法是静态的,所以必须使用 :: 三、一个示例 在test中set: classmy_testextendsuvm_test; my_config cfg; ... functionvoidbulid_phase(uvm_phase phase); ... uvm_config_db#(my_config)::set(this,"env","cfg",cfg); ... endfunction ...