4. Set design constraints 4.1 定义时钟 4.2 约束输入输出路径 5. Select compile strategy 6. Synthesize and Optimize the design 6.1 综合介绍 6.2 进行综合 6.3 综合结果分析 7.analyze and resolve design problem 8. Save the design databas
# 指定target_library--指定具体工艺库的路径set_appr_var target_library 90nm_typical.db# 设定 link_libraryset_app_var link_library"* 90nm_typical.db"orset_app_var link_library"*$target_library"# link_library 列表set_app_var link_library [list *${target_library}macro_library macro_library2...
#2、描述芯片的边界约束,包括set_input_delay,set_output_delay等 #3、描述芯片的一些设计违反rule(DRV),包括set_max_fanout,set_max_capacitance,set_max_transition等 #4、描述设计中一些特殊的路径。包括set_false_path,set_multicycle_path等 #5、描述设计中一些需要禁止的timing arc例如set_disable_timing 时...
set_optimize_registers -design Pipeline ②使用compile -scan -inc 命令③使用自定义路径组合、关键范围 Design Compiler中,常用report_timing命令来报告设计的时序是否满足目标。执行report_timing命令时,DC做4个步骤:把设计分解成单独的时间组;每条路径计算两次延迟,一次起点为上升沿,另一次起点为下降沿;在每个路径组...
第二条set_output_delay命令里,使用了-add_delay选项,意思时输出端口OUT1有多个约束,如果不加选项-add_delay,第二个set_output_delay命令将覆盖(取代)第一条set_output_delay命令,这时,输出端口OUT1只有一个约束,就达不到我们的预期要的约束了。 时钟CLKD的频率为75MHz(300MHz/4),为了计算时钟周期,我们需要用...
摘要:1.1 什么是DC?DC(Design Compiler)是Synopsys的logical synthesis优化工具,它根据design description和constraints自动综合出一个优化了的门级电路。它可以接受多种输入格式,如硬件描述语言、原理图和netlist等,并产生多种性能报告,在缩短设计时间的同时提高读者设计性能。1.2 DC能接受多少种输入格式?支持.db, ...
Tcl与Design Compiler (9)——其他的时序约束选项(一) 之前讲了基本的时序路径约束,现在我们来看一下其他的约束,然后通过实战来讲解一些其他的约束。实战中也没有前面的“理论”中的约束类型,但是可以通过实战来了解其他的约束。本文的具体内容是: ·多时钟同步约束...
Design Compiler可以使用 set_clock_uncertainty命令来建模时钟的skew + jitter + margin。如果不设置的话...
Design compiler工具在工作站中已经安装完毕,且用户的环境变量和license也已设置完成,登录之后直接启动工具即可。如果打开终端之后无法启动工具,可能就是license没有启动,需要首先采用命令:start_slic启动license,然后design vision才能正常开启与工作。建立相关的工程目录之后,进入本次实验的工作目录:~/dcLab/work后,采用启...
Design Compiler 综合脚本 常用命令和模板 参照自己的设计,以及自己的工艺信息,适当修改下面的 Constraints 和 Run Script 等的脚本,添加一些相关的约束语句,就可以运行了 详细的命令请参照DC的官方User Guide等相关资料。Invoking Design Compiler Unix% design_vision # Interactive GUI, WLM mode Unix% design_...