写sdc 的时候 需要get DUT 内部的pins的hierarchy. 为了得到准确的 hierarchy, 最好读入netlist 在get pin. 但得到netlist 综合时间较长。 可以基于dc 综合吐出来的dut.ddc (dut 是top module),来get hierarchy.用dc 跑synthesis 或 dc_sync_quick(uick flow只是完成elab/compile -map_only). 等dc 吐出 dut...
DC约束笔记 关于get_pins get_cells get_ports等的定义 关于建立保持与max/min的关系 2、setup time和hold time 建立时间(setup time)是指在触发器的时钟信号上升沿到来以前,数据稳定不变的时间,如果建立时间不够,数据将不能在这个时钟上升沿打入触发器。 保持时间(hold time)是指在触发器的时钟信号上升沿到来以...
create_clock -period 10 [get_ports CLK] set_multicycle_path6-setup -to [get_pins C_reg[*]/D] (等价于set_multicycle_path-setup 6 -to [get_pins C_reg[*]/D] ) 注意这条命令是要知道多时钟周期的终点寄存器的(注意:这条命令设置了所有的前级寄存器时钟端口到C_reg寄存器的D端口路径都是多时...
create_clock -period 2.5 -waveform {0 1.5} [get_ports Clk2] create_clock -period 3.5 -name V_Clk;# 这是虚拟时钟 create_generated_clock -name DIV2CLK -divide_by2 -source [get_ports Clk1] [get_pins I_DIV__FF/Q] set_clock_uncertainty -setup 0.14 [get_clocks *] set_clock_uncertain...
set_ideal_network [get_pins U_A/C2/B] 那么,该理想属性可以传输到线d,此时线e不是理想的,但再加上set_ideal_network [get_pins u first a/C3/B]命令,结果线e也是理想的了。 2)使用set_dont_touch_network命令设置dont_tou...
create_clock -period 10 [get_ports CLK] set_multicycle_path6-setup -to [get_pins C_reg[*]/D] (等价于set_multicycle_path-setup 6 -to [get_pins C_reg[*]/D] ) 注意这条命令是要知道多时钟周期的终点寄存器的(注意:这条命令设置了所有的前级寄存器时钟端口到C_reg寄存器的D端口路径都是多时...
create_generated_clock–name G_CLK4] divide_by 1 –source [get_pins U1/B] [get_pins U2/Z] –combinational–add set_clock_groups –phisically_exclusive –group G_CLK3 –group G_CLK4 还有一种常见的情况是,时钟经过分频模块分出多个分频时钟,然后多个分频时钟经过时钟切换电路选择输出,而且分频电路...
create_generated_clock -divide_by 2 -name CLK -source [get_ports CLK] [get_pins FF1/Q] ;#第一个中括号代表分频的源时钟,第二个中括号代表输出分频的引脚。 四:异步设计路径和逻辑上不存在的路径的时序约束(时序例外) (1)异步设计的路径约束 ...
create_generated_clock–name G_CLK4] divide_by 1 –source [get_pins U1/B] [get_pins U2/Z] –combinational–add set_clock_groups –phisically_exclusive –group G_CLK3 –group G_CLK4 还有一种常见的情况是,时钟经过分频模块分出多个分频时钟,然后多个分频时钟...
icc2_shell> get_attribute [get_pins I_SDRAM_TOP/U82/Y] actual_rise_transition_max 0.093307 除了这个属性之外,还有fall和min/max,一共四种组合: Attribute Name Object Type Properties Constraints --- activity_type pin string A actual_fall_transition_max pin float A actual_fall_transition_min pin ...