搜索可以限制为只有带有 -symbols_only 选项的命令和选项名。 当搜索dash选项时,不要包括the leading dash。 只搜索名称。 示例 在下面的示例中,假设 get_cells 和 get_designs 命令具有 -exact 选项。 prompt> apropos exact get_cells # Create a collection of cells [-exact] (Wildcards are considered as...
get_cells I_IN/*_reg* 有返回值,说明是存在有不被移动的寄存器的。 通过下面的命令: report_timing -from I_MIDDLE/I_PIPELINE/z_reg*/* 可以知道PIPELINE模块是寄存输出的(因为有返回报告值) 本站仅提供存储服务,所有内容均由用户发布,如发现有害或侵权内容,请点击举报。打开...
filter_collection [get_cells *] "ref_name = ~AN* " get_cells *-filter "don't_touch == true" 8:foreach 。 9:index_collection $pci_ports number 相当于求数组的某个number值 四:objects的属性 五:其他 1:综合时read源文件后,设置完顶层文件后,即可以使用上面命令 例如: 2:list与collection不同...
对于hierarchical cells,change_link命令的常见用途是重命名设计并更改cell链接到新名称的设计。在这些情况下,使用带有-update_links选项的rename_design命令,而不是copy_design, rename_design, change_link和remove_design命令集。rename_design命令提供了更快的运行时。有关更多信息,请参阅rename_design命令手册页。 示...
2)set_dont_touch_network命令可以覆盖命令set_dont_touch object false的作用效果; 3) dont_touch属性会对扫描插入有影响。 4)如果对unmapped单元使用该命令,会造成compile_ultra运行失败。仍以图1举例来说明dont_touch属性的传递规则set...
关于设计对象的内容(比如上面是设计对象等),请查看前面的章节,这里我们只进行说对设计对象操作的一些命令(这些命令可以在dc_shell 中执行,或者写在tcl文件中)。 -->获取设计对象 get_ports 、get_pins 、get_designs 、get_cells 、get_nets 、get_clocks 、get_nets -of_objects [get_pins FF1_reg/Q] 、...
设计规则修整的介绍如下:工艺库中包括厂商为每个单元指定的设计规则。设计规则有:max_capacitance,max_transition和max_fanout。映射过程中,DC会检查电路是否满足设计规则的约束,如有违反之处.DC会通过插入缓冲器( buffers)和修改单元的驱动能力(resizes cells)进行设计规则的修整。修正设计规则的步骤如下所示: ...
利用DC进行逻辑综合
如有违反之处.DC会通过插入缓冲器( buffers)和修改单元的驱动能力(resizes cells)进行设计规则的...
设计规则修整的介绍如下:工艺库中包括厂商为每个单元指定的设计规则。设计规则有:max_capacitance,max_transition和max_fanout。映射过程中,DC会检查电路是否满足设计规则的约束,如有违反之处.DC会通过插入缓冲器( buffers)和修改单元的驱动能力(resizes cells)进行设计规则的修整。修正设计规则的步骤如下所示: ...