-kdb-lca //选项支持输出 kdb 格式的数据,用于与Verdi在交互模式交换数据,而 kdb 格式属于 "Limited Customer Availability" 特性,必须通过 -lca 选项开启。 $value$plusargs//传递参数;方便模式选择;运行时生效。 +define+FSDB// 添加波形 +define+macro +define+macro=value // 定义一个文本宏;方便代码隔离;...
VCS 仿真option 解析 VCS的仿真选项分编译(compile-time)选项和运行(run-time)选项。编译选项用于RTL/TB的编译,一遍是编译了就定了,不能在仿真中更改其特性,例如define等等。而仿真选项常用于仿真过程中控制仿真过程的选项,例如波形dump,testplusargs等等。 1.1VCS常用的编译选项 1.2VCS常用的运行选项 1.3VCS调试模式...
$plusargs() 动态接收参数 -E echo -l logfile 把仿真信息写入logfile文件里 (三)VCS Debug的三种方法 1.系统任务法 system task calls $display 打印变量赋值前值 $montor 打印变量赋值后值,变量值改变,打印值也变 $time 仿真时间 $readmemb 将文件里的内容读入存储器中,读二进制binary $readmemh 将文件里的...
$value$plusargs(string, variable) This system function searches the list of plusargs (like the $test$plusargs system function) for a user specified string. If a string is found, the remainder of the string is converted to the type specified in the user string and the resulting value stored ...
E203的Makefile默认是调用 iverilog编译rtl,我们可以做如下修改,使其支持vcs编译。 1. 首先修改e200_opensource/tb/tb_top.v, 增加dump波形的两行代码,这样如果指定DUMPWAVE不等于0,就会打印dump出波形文件。 initial begin $value$plusargs("DUMPWAVE=%d",dumpwave);if(dumpwave != 0)begin// To add your wa...
1.需要重新编译系统,浪费时间(不使用valuevalueplusargs时); 2.Verilog是低级语言,对于文本处理比较困难,不支持正则表达式 基于ucli/tcl接口: 优点: 1.不需要重新编译仿真顶层; 2.使用高级语言接口,容易完成复杂处理,例如传递变量,例如使用正则表达式; 3.交互式接口,控制灵活,仿真过程可修改dump信息,如dumpon/dumpoff...
//if($value$plusargs("TESTNAME=%s", testname)) begin // $fsdbDumpfile({testname, "_sim_dir/", testname, ".fsdb"}); //end else begin // $fsdbDumpfile("tb.fsdb"); //end end `endif endmodule 再定义一个filelist文件:dut.f ...
b) 减少调试开关: +acc 使用 PLI Table file 过程中减少使用 acc 开关 +cli 只有在交互调试时使用 -I 只有在调试时使用 -line 只有在单步调试的时候采用 c) 对于 windows 和 linux 中的 VCS 不要使用 c 编译器优化开关 d) 使用增量编译 e) 使用$testplusargs 来处理多个测试例子 f) 使用独立的/csrc ...
1.需要重新编译系统,浪费时间(不使用valuevalueplusargs时); 2.Verilog是低级语言,对于文本处理比较困难,不支持正则表达式 基于ucli/tcl接口: 优点: 1.不需要重新编译仿真顶层; 2.使用高级语言接口,容易完成复杂处理,例如传递变量,例如使用正则表达式; 3.交互式接口,控制灵活,仿真过程可修改dump信息,如dumpon/dumpoff...
if($test$plusargs("DUMP_FSDB")) begin $fsdbDumpfile("testname.fsdb"); //记录波形,波形名字testname.fsdb $fsdbDumpvars("+all"); //+all参数,dump SV中的struct结构体 $fsdbDumpSVA(); //将assertion的结果存在fsdb中 $fsdbDumpMDA(0, top); //dump memory arrays ...