$fsdbDumpfile("testname.fsdb"); //记录波形,波形名字testname.fsdb $fsdbDumpvars("+all"); //+all参数,dump SV中的struct结构体 $fsdbDumpSVA(); //将assertion的结果存在fsdb中 $fsdbDumpMDA(0, top); //dump memory arrays //0: 当前级及其下面所有层级,如top.A, top.A.a,所有在top下面的多...
initial begin$fsdbDumpfile("tb.fsdb");$fsdbDumpvars;$fsdbDumpMDA();end 第三行加了$fsdbDumpMDA(),这样就可以Dump所有的Memeory值,不管什么信号都可以保存到Memory。 VCS编译命令使用参数如下: vcs \\*.v -LDFLAGS -Wl,--no-as-needed -timescale=1ns/1ps \\-fsdb -full64 -R +vc +v2k -sveri...
-cm_tgl mda:为Verilog 2001和SystemVerilog未打包的多维数组启用翻转覆盖 -cm_noconst:告诉VCS不要监视由于信号始终为1或0值而永远无法满足的条件或永远无法执行的线路 -cm_noseqconst:(没找到啥意思) -cm_cond:由一个或多个参数指定的修改后的条件覆盖率 basic:只有逻辑条件,没有多个条件 std:仅逻辑和敏感条...
$fsdbDumpvars(0,top.a,+mda) 上述命令中,0表示FSDB文件的索引号,top.a是要导出的信号,+mda表示导出信号的元数据。 2. run命令 run命令用于启动仿真,可以指定仿真结束的时间。例如,要仿真100微秒后结束,可以使用以下命令: run 100us 3. dump-close命令 dump-close命令用于关闭当前打开的波形导出文件。在仿真...
(1)VCS编译(vcs_compile):该步骤生成可执行文件,默认为simv (2)VCS仿真(vcs_sim):该步骤执行simv文件 (3)启动Verdi,加载fsdb文件(run_verdi):该步骤启动Verdi,加载VCS仿真结果,查看波形 要启动Verdi,需要在tb文件中加入以下代码 initialbegin$fsdbDumpfile("top.fsdb");$fsdbDumpvars();$fsdbDumpMDA();$dump...
+auto2protect [<⽂件后缀>]:创建⼀个受保护的源⽂件,该⽂件不对模块头中的端⼝连接列表进⾏加密;所有模块均已加密。+auto3protect [<⽂件后缀>]:创建⼀个受保护的源⽂件,该⽂件不加密模块头中的端⼝连接列表或第⼀个端⼝声明之前的任何参数声明;所有模块均已加密。
$fsdbDumpvars(level,path,"+mda"); 这样也可以保存。这一部分详细的放到后面再说。 -debug_access的可用选项还有很多,但是现在也看不太明白或者用不到,这里也不往上写了。另外这里添加一个选项的说明:-lca。这个选项是允许用户使用一些Synopsys在开发中未经测试的功能,如果需要这个参数的话在编译的时候会报错并提...
$fsdbDumpvars("+all"); //+all参数,dump SV中的struct结构体 $fsdbDumpSVA(); //将assertion的结果存在fsdb中 $fsdbDumpMDA(0, top); //dump memory arrays //0: 当前级及其下面所有层级,如top.A, top.A.a,所有在top下面的多维数组均会被dump ...
VCS简明使用教程