vcs -y /path/to/mylib mydesign.v 编译器在编译mydesign时会在"/path/to/mylib"中查找任何需要的文件。 +libext+<.v>+<.svp> 用于指定库扩展名。默认情况下,VCS会假设库的扩展名为".v"。但是,如果你的模块库使用了其他扩展名(例如".sv"或".vh"),那么你需要通过此选项告诉编译器使用正确的扩展名。
vcs -R -full64 -sverilog +v2k +define+FSDB -kdb -lca -debug_all \ -f flist_sim -f flist -l run.log +verilog2001ext+.v +libext+.v+.svh+.sv \ -P /eda/synopsys/verdi/T-2022.06/share/PLI/VCS/linux64/novas.tab \ /eda/synopsys/verdi/T-2022.06/share/PLI/VCS/linux64/pli.a...
-v /root/my_test/lib/lib.sv +define+MY_MACRO ///filelist.f 解释: -v file //从文件file中寻找前面文件中instance了但没有define的 module的definition; -y dir //从文件夹dir中寻找前面没找到的module definition; +libext+lib_ext //在库目录dir中搜索文件时使用文件扩展名lib_ext +indir+dir //...
+libext+.sv+.v:指定文件,这里表示指定后缀为.sv和.v的文件 -P:指定使用的PLI,这里指定verdi下的PLI,因为verdi是需要fsdb文件来显示波形的,而fsdb文件的生成,需要系统函数(如$fsdbDumpfile,$fsdbDumpvars等等),而这些系统函数,vcs中是没有的,但是在verdi的PLI中有,所以这里需要指定一下。 执行完毕后,就会生成...
指定VCS只在库目录中搜索具有指定文件扩展名的文件,可以指定多个扩展名,用加号(+)分隔扩展名。例如,+libext+ .v+ .V+,指定在库中搜索扩展名为.v或.V的文件 +liborder 指定在VCS找到实例的库的剩余部分中搜索未解析的模块实例的模块定义 -full64
指定VCS只在库目录中搜索具有指定文件扩展名的文件,可以指定多个扩展名,用加号(+)分隔扩展名。 例如,+libext+ .v+ . V+,指定在库中搜索扩展名为.v或.V的文件 +图书馆 指定在VCS找到实例的库的剩余部分中搜索未解析的模块实例的模块定义 -全64
+plusarg_save +libext+.v+.V+.sv+.svh -sverilog +memcbk \ -P ${Verdi_HOME}/share/PLI/VCS/LINUX64/novas.tab \ ${Verdi_HOME}/share/PLI/VCS/LINUX64/pli.a \ -cpp /usr/bin/g++-4.4 -cc /usr/bin/gcc-4.4 \ -f file.list -f macro.list -top test \ ...
-y lib_dir:搜索指定lib库路径 +libext+ext:搜索具有指定文件扩展名的文件,如+libext+.v+.sv +incdir+directory:搜索指定include文件路径 +define+macro:使用源代码中`ifdef所定义的宏或者define源代码中的宏 -parameters filename:将filename文件中指定的参数更改为此时指定的值 -timescale=1ns/1ns:设置仿真精...
+libext+<extension>让VCS在verilog库路径下搜索指定的扩展名⽂件,与-y配合 -vera指定标准的Vera PLI表⽂件和对象库 +acc+1|2|3|4使能PLI中的ACC(PLI 1.0的⼀种⽅式)+cli+[<module_name>=]1|2|3|4使能CLI调试功能 +autoprotect[<file_suffix>]⽣成⼀个加密的源⽂件 +protect[<file_...
vcs addertb.v add8.v -y ../../lib/ +libext+.v -R +incdir+文件查找路径 -f 文件列表 -o 输出可执行文件名 +define+宏=值 定义Makefile: vcs -sverilog -R +define+MACRO=1234 taa.sv -o target 定义taa.sv module taa (); initial begin $display("MACRO is %d", `MACRO); end endmod...