Step 1: analysis verilog/system verilog/VHDL; 命令例子: vlogan -kdb -work DEFAULT [rtl.defs] -f filelistname -l logfilename +incdir+include_dir_name top.v //对verilog file 进行analysis Step 2: Elaboration: 命令例子: vcs -kdb -lca -noIncrComp +lint=TFIPC-L +lint=PCWM -debug_all -...
在VCS编译的时候加上-kdb -lca 可以写在filelist中。此后可以在当前文件夹下的simv.daidir文件夹中找到kdb.elab++文件夹。 随后可以使用命令 Verdi -elab simv.daidir/kdb -l run.log 或者 ./simv -gui=verdi -l run.log 来用Verdi进行仿真。 总结:①如果要用DVE查看波形,只需要正常编译后在simv.daidir文...
除了$fsdbDumpvars还有一个我前面提到的用于保存MDA的$fsdbDumpMDA。 3-2 KDB KDB的全称是Verdi Knowledge Database。不管是two-step还是three-step,要保存kdb的话除了Simulation步骤都需要添加-kdb。仿真完成之后,verdi可以通过-dbdir选项指定路径以保证vcs和Verdi使用的是相同的数据,同时也可以通过-ssf指定打开的fsdb...
第一步,调用VCS生成simv。 vcs -full64 -sverilog -debug_all -lca -kdb -timescale=1ns/1ps <verilog_file_name> 这里使用了-sverilog选项开启SystemVerilog支持。添加-kdb选项支持输出KDB格式的数据,用于与Verdi在交互模式交换数据,而KDB格式属于"Limited Customer Availability"特性,必须通过-lca选项开启。 第二...
与两步法配置内容相似。Verdi读取libmap设置时,需加载Knowledge Database(KDB)。在两步法和三步法中通过命令-kdb生成,同时建议开启-lca功能。加载KDB有三种方式:使用verdi -dbdir simv.daidir、verdi -simBin simv或verdi -ssf novas.fsdb命令。加载后,Verdi实例中将正确显示同名不同文件的模块设计。
-kdb \ -timescale=1ns/1ps \ -l com.log #修改vcs -full64 \,添加-cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,--no-as-needed \ 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 如果操作系统没有安装gcc 4.8,会报错: make[1]: Entering directory '/home/alanwu/Documents/RISCV-SIM/csrc' ...
图16为在Makefile中用Verdi软件打开“.fsdb”文件的命令。 图16 如图17所示,惊喜的一幕出现了,Verid软件打开了,折腾了几天终于实现了VCS和Verdi的联合。(有朋友说Verdi2016开始可以直接使用kdb,就像在VCS中直接使用DVE一样方便,大家可以尝试一下)。 图17 ...
但由于其原来是Synopsys第三方产品,所以VCS对其支持并不是很友好。如果要支持Verdi,需要设置好NOVAS_LIB_PATH的环境变量,并且在命令行中添加-kdb的option,knowledge database(kdb)是VCS支持Verdi时的重要概念。另外,VCS支持vpd和fsdb两个格式的dump wave。fsdb的文件相对比较小。
vhdlan-kdb-vhdl87-full64-work$VCS_LIB-f VHDL87_FILELIST-l vhdl87.log//对VHDL87进行analysis vhdlan-kdb-93-full64-work$VCS_LIB-f VHDL93_FILELIST-l vhdl93.log//对VHDL93进行analysis 注意,上面的两个命令中,参数DUMP_FSDB/VPD是一个dump波形的使能参数,需要在top testbench中添加dump wave的系统...
1) 如果只想生成simv.daidir/kdb.elab++, VCS编译时,用”-lca -kdb=only“ 2)如果生成.simv之后没有仿真产生fsdb,那么通过verdi -dbdir ./simv.daidir可以打开verdi导入工程。这说明上面的那个命令verdi -ssf test.fsdb虽然没有指定-dbdir,但是它默认找到了。完整的命令应该是verdi -ssf test.fsdb -dbdir...