UP主所使用的环境为Centos7,安装和激活VCS的教程可以在网上找, 在使用命令:vcs ./file1.v ./file2.v -R -full64 -fsdb 对Verilog代码进行编译的时候出现错误,错误是: make: gcc-4.8: Command not found make:*** [rmapts.o] Error 127 刚开始以为是我的gcc的环境没有搞好,查看gcc已经安装好了的,编译...
又去路径下看了,发现路径没错,上面路径的确存在 pli.a 和 novas.tab文件,可是就是不能识别到 fsd...
csrc是个临时文件,真正产生该文件的位置是在安装的VCS路径下面: 因此,进入VCS安装路径对应的/bin/文件夹下,打开vcs文件,将其中的SNPS_VCS_INTERNAL_UBUNTU_PRE_LDFLAGS参数后面的-no-pie删除,即可成功解决该问题。 四、启动verdi和fsdb波形 在启动verdi时,可能会遇到libpng12.so文件不存在的问题: 代码解读 error wh...
由于Verdi只能查看fsdb格式的波形,而vcs可以生成供DVE查看的vpd格式波形,如果想要输出fsdb格式的波形,我们就要额外在testbench中加入两个函数,并且用相应的命令进行编译。1.首先了解一些vcs的常用命令:-cm line|cond|fsm|tgl|obc|path 设定coverage的方式 +define+macro=value+ 预编译宏定义 -f file ...
这一步就是执行上面生成的simv.o可执行文件,进行仿真。由于需要生成适用于verdi的fsdb文件,所以在bench中还需要添加任务语句。Simulate部分脚本和bench如下所示。 图1.1.2-6 simulate部分脚本 图1.1.2-7 bench中设置fsdb波形 1.1.3 VCS仿真步骤 VCS的仿真非常简单,首先要确保必要的组件,和以前一样,平台主要分为Src...
$fsdbDumpfile("./rtl.fsdb");//生成rtl.fsdb波形文件 $fsdbDumpvars("+all");//把所有变量全都加进去 然后在用verdi加载波形文件。 第二种方法是通过verdi界面生成fsdb。编译完后通过输入下面命令 ./simv -gui=verdi 打开verdi界面,然后在verdi命令行输入run,也可以生成.fsdb文件,但是这种方法比较麻烦,而且每...
另一种方法是使用ucli, 修改scope和depth时不需要重新编译, 使用ucli, 首先在首次编译时需要加入编译选项:-debug_access+all, 否则在runtime 使用ucli时会报错。 在编译选项中加入了-debug_access+all 后,需要编辑一个wave.do文件,在文件中指定 fsdb dump的文件名,以及dump的scope,depth等, 如下所示。
fsdb即Fast Signal DataBase,是Spring Soft公司(原Novas)的Verdi(原Debussy)所支持的波形文件,相对比VCD波形文件,fsdb只对仿真过程中有用的信号进行存储,除去了VCD中的冗余信息,自然所占存储空间较小。 VCD是IEEE1364所定义的标准波形存储文件,所以可以使用verilog的系统函数dumpVCD来dump VCD的波形文件,但是 Verilog语...
$fsdbDumpvars(level,path,"+mda"); 这样也可以保存。这一部分详细的放到后面再说。 -debug_access的可用选项还有很多,但是现在也看不太明白或者用不到,这里也不往上写了。另外这里添加一个选项的说明:-lca。这个选项是允许用户使用一些Synopsys在开发中未经测试的功能,如果需要这个参数的话在编译的时候会报错并提...
$fsdbDumpvars; end `else initialbegin $display("No Dump"); end `endif 注意必须要用条件编译,因为vcs命令会用+define+DUMP来传递参数,条件编译fsdb相关函数,而xrun这里不需要。注意,这里面的4个软件,vcs仅支持和verdi配合使用,xrun仅支持和indago配合使用,其他搭配方式我没有写,也没太大必要。