-y $DC_HOME/dw/sim_ver +incdir+$DC_HOME/dw/sim_ver +libext+.v 5.-file file_list.f 读取源文件列表,与 -f 类似,可以包含PLI选项,可以使用转义字符 -f -F -f采用绝对路径;-F与-f类似,也可以采用绝对路径,同时也支持相对路径,但不允许嵌套使用。 VCS用-F解析filelist时,不允许文件嵌套使用,不...
-work library:将设计库名称映射到接收vlogan输出的逻辑库名称work -v lib_flie:搜索指定lib库文件 -y lib_dir:搜索指定lib库路径 +libext+ext:搜索具有指定文件扩展名的文件,如+libext+.v +.sv +incdir+directory:搜索指定include文件路径 +define+macro:使用源代码中`ifdef所定义的宏或者define源代码中的宏 ...
-work library:将设计库名称映射到接收vlogan输出的逻辑库名称work -v lib_flie:搜索指定lib库文件 -y lib_dir:搜索指定lib库路径 +libext+ext:搜索具有指定文件扩展名的文件,如+libext+.v +.sv +incdir+directory:搜索指定include文件路径 +define+macro:使用源代码中`ifdef所定义的宏或者define源代码中的宏 ...
-v lib_file -- -v 使用工艺库 -y lib_dir -- 指定vcs寻找的路径 +libext+lib_ext -- 在lib_dir路径中寻找文件的时候指明文件的后缀名 +incdir+inc_dir -- 在rtl代码中使用`include的时候,指定include所指明文件所在的路径 -f file -- 将很多源代码整合到一个filelist文件中去,通过-f调用 -o foo ...
+libext+ext:搜索具有指定文件扩展名的文件,如+libext+.v +.sv +incdir+directory:搜索指定include文件路径 +define+macro:使用源代码中`ifdef所定义的宏或者define源代码中的宏 -parametersfilename:将filename文件中指定的参数更改为此时指定的值 -timescale=1ns/1ns:设置仿真精度 ...
-f flist_sim -f flist -l run.log +verilog2001ext+.v +libext+.v+.svh+.sv \ -P /eda/synopsys/verdi/T-2022.06/share/PLI/VCS/linux64/novas.tab \ /eda/synopsys/verdi/T-2022.06/share/PLI/VCS/linux64/pli.a \ `ifdef FSDB
-f:指定包含文件列表的filelist -o:修改可执行文件simv文件名 -full64:支持64位模式下的编译仿真 -fsdb:dump fsdb波形 -ucli:在UCLI命令行模式下执行simv -gui :DVE 界面运行 -work library:将设计库名称映射到接收vlogan输出的逻辑库名称work -v lib_flie:搜索指定lib库文件 ...
(FILELIST) -P $(VERDI_P) -l $(SIM_LOG) -o $(EXEC_SIMV)55. CMP_OPTIONS += +libext+.sv+.v +indir+/home/xiaotu/my_work/code_lib56. CMP_OPTIONS += +v2k +define+RTL_SAIF +notimingcheck +nospecify +vpi +memcbk +vcsd +plusarg_save +nospecify +udpsched57. CMP_OPTIONS += ...
-f:指定包含文件列表的filelist -o:修改可执行文件simv文件名 -full64:支持64位模式下的编译仿真 -fsdb:dump fsdb波形 -ucli:在UCLI命令行模式下执行simv -gui :DVE 界面运行 -work library:将设计库名称映射到接收vlogan输出的逻辑库名称work -v lib_flie:搜索指定lib库文件 -y lib_dir:搜索指定lib库路径 ...
+libext+.sv+.v:指定文件,这里表示指定后缀为.sv和.v的文件 -P:指定使用的PLI,这里指定verdi下的PLI,因为verdi是需要fsdb文件来显示波形的,而fsdb文件的生成,需要系统函数(如$fsdbDumpfile,$fsdbDumpvars等等),而这些系统函数,vcs中是没有的,但是在verdi的PLI中有,所以这里需要指定一下。