functionbitdo_compare(uvm_objectlocal_h,uvm_comparercomparer); 63 my_objectmy_obj; 64 $cast(my_obj,local_h); 65 return(super.do_compare(my_obj,comparer)& 66 value==my_obj.value& 67 names==my_obj.names& 68 colors==my_obj.colors& ...
()),UVM_LOW)_compare(obj1,obj2);`uvm_info("TEST","Copy m_name",UVM_LOW)obj2.m_name=obj1.m_name;`uvm_info("TEST",$sformatf("Obj2.print: %s",obj2.convert2string()),UVM_LOW)_compare(obj1,obj2);`uvm_info("TEST","Copy m_pkt.m_addr",UVM_LOW)obj2.m_pkt.m_addr=obj1...
UVM_ALL_ON)11`uvm_field_string(cl_string,UVM_ALL_ON)12`uvm_field_array_int(cl_int_arr,UVM_ALL_ON)13`uvm_field_aa_int_longint_unsigned(logic_data,UVM_ALL_ON)14`uvm_object
I have a base class, where all fields are registered as UVM_ALL_ON. In class extended from this base class,i want to remove some field from comparing (UVM_NOCOMPARE). class a ; rand [2:0] bit tag; rand [7:0] bit addr; …