因为命令行参数后面赋值会覆盖掉前面的赋值,所以data[88]最终传递的是1. 这里大家注意下,数组类型声明的是uvm_field_sarray_int, 传递参数的时候还是按照int的方式传递,只不过变量名字带了数组元素下表,并且支持星号通配符。 2.5 传递string数组和enum数组 string数组、enum数组的使用方法和int数组类似,没什么可说的。
`defineuvm_field_sarray_int(ARG,FLAG) `defineuvm_field_sarray_enum(ARG,FLAG) `defineuvm_field_sarray_object(ARG,FLAG) `defineuvm_field_sarray_string(ARG,FLAG) 与队列相关的uvm_field系列宏有: `defineuvm_field_queue_enum(ARG,FLAG) `defineuvm_field_queue_int(ARG,FLAG) `defineuvm_field_q...
`defineuvm_field_sarray_object(ARG,FLAG) `defineuvm_field_sarray_string(ARG,FLAG) 与队列相关的uvm_field系列宏有: 代码清单3-29来源:UVM源代码 `defineuvm_field_queue_enum(ARG,FLAG) `defineuvm_field_queue_int(ARG,FLAG) `defineuvm_field_queue_object(ARG,FLAG) `defineuvm_field_queue_string(...
\`uvm_field_bool(info, UVM_ALL_ON) \`uvm_field_int(addr, UVM_ALL_ON) \`uvm_field_int(length, UVM_ALL_ON) \`uvm_field_array_int(payload, UVM_ALL_ON) \`uvm_field_sarray_int(size, UVM_ALL_ON) \`uvm_object_utils_end
`uvm_field_int(addr,UVM_PRINT) `uvm_field_enum(color,theme,UVM_PRINT) `uvm_object_utils_end function new(string name="c1"); super.new(name); endfunction endclass class c2 extends uvm_sequence_item ; rand bit[15:0] data; rand bit[3:0] field_sarray[4]; ...
`uvm_field_int(dmac, UVM_ALL_ON) `uvm_field_int(smac, UVM_ALL_ON) `uvm_field_int(ether_type, UVM_ALL_ON) `uvm_field_array_int(pload, UVM_ALL_ON) `uvm_field_int(crc, UVM_ALL_ON) `uvm_object_utils_end 参考资料 UVM实战(卷一) 张强 编著 机械工业出版社...
`uvm_field_int(rsp, UVM_ALL_ON) `uvm_object_utils_end function new (string name = "chnl_trans"); super.new(name); endfunction endclass: chnl_trans 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. ...
28、#39;uvm_field_int(vlan_info3, UVM_ALL_ON)'uvm_field_int(vlan_info4, UVM_ALL_ON)end'uvm_field_int(ether_type, UVM_ALL_ON)'uvm_field_array_int(pload, UVM_ALL_ON)'uvm_field_int(crc, UVM_ALL_ON | UVM_NOPACK)'uvm_field_int( is_vlan, UVM_ALL_ON | UVM_NOPACK)'uvm_ob...
pack_ints函数用于将所有的字段打包成int(4个byte,或者dword)流。 unpack_ints函数用于将一个int流逐一恢复到某个类的实例中。 print函数用于打印所有的字段。 clone函数 除了上述函数之外,field automation机制还提供自动得到使用config_db::set设置的参数的功能 ...
37、; uvm _field _object (header, UVM _DEFAULT) 22 uvm _field _array _int (payload, UVM _DEFAULT) 23 uvm _field _int (parity, UVM _DEFAULT) 24 uvm_field_enum(parity 38、_e, parity_type, UVM _DEFAULT) 25 uvm _field _int(packet _delay, UVM _DEFAULT | UVM_DEC | UVM_NOCOMPAR...