(1.2) uvm_reg_map的do_write任务会查看系统是否设置了adapter,如果没有设置,就直接启动sequence, 让sequencer发送uvm_reg_item类型的transaction;如果设置了,那就调用do_bus_write任务. (1.3) uvm_reg_map的do_write完成后,如果auto predict功能打开了, uvm_reg的do_write会根据写入的值更新register model中寄存器...
1taskuvm_reg::read(outputuvm_status_e status,2outputuvm_reg_data_t value,3inputuvm_path_e path =UVM_DEFAULT_PATH,4inputuvm_reg_map map =null,5inputuvm_sequence_base parent =null,6inputintprior = -1,7inputuvm_object extension =null,8inputstringfname ="",9inputintlineno =0);10Xatomi...
这边仅仅从一个小的方面进行切入,主要研究下我们实际的sequence_item在register model、adapter以及sequencer之间是如何调度传播的,这里选择的切入点就是使用寄存器模型里的寄存器调用write/read方法前门访问时数据包是如何在寄存器模型、adapter、sequencer中传递的,我们自然就联想到了adapter内的两个函数reg2bus和bus2reg。
项目中的reg_model一般只有一份,set到reg_sequence上,所以多个sequence并行启动结束的时候,reg model会成为一个共享资源。 uvm_reg_field中的volatile,主要来设置m_check的变量, m_check,主要用在uvm_reg的mirror task,以及read task,(需要map中配置check_on_read) uvm_reg_field中的desired,mirrored,m_parent,m...
从图片看起来寄存器模型的加入并没有使寄存器访问变的简单而是变得更复杂了,但其实从代码中可以很明显的看出来,如果要读一个寄存器,加入寄存器模型的UVM环境里只需一行就可以把寄存器INVERT_REG的值读到value变量中。 reg_model.INVERT_REG.read(status, value, UVM_FRONTDOOR); ...
uvm_reg常⽤的读写⽅式⾸先创建register model ral_model_h;可以使⽤下⾯的⽅式来读写寄存器:uvm_reg temp_reg;uvm_status_e status;$cast(temp_reg, ral_model_h.get_reg_by_name("REG_NAME"));temp_reg.write(status, write_data);temp_reg.read(status,read_data);还可以对寄存器的各...
uvm_reg中为什么没有镜像值和期望值 目录 寄存器模型集成 总线UVC的实现 总线UVC解析 MCDF寄存器设计代码 Adapter的实现 Adapter的集成 访问方式 前门访问 后门访问 前门与后门的比较 前门与后门的混合应用 寄存器模型集成 总线UVC的实现 MCDF访问寄存器的总线接口时序较为简单。控制寄存器接口上首先需要在每个时钟解析cmd...
读取的方式可以选择BACKDOOR或者FRONTDOOR。与update类似,每个uvm_reg派生来的类都有mirror操作,每个由uvm_reg_blcok派生出来的类也有mirror操作。mirror操作可以指定是否报告DUT中寄存器的值与register model中镜像值不一样。如果选择了这一项,那么就可以检查某些计数器的值是否DUT中相应计数器的值一致。
uvm_reg本质就是通过reg中的write,read等API,将读写请求,数据等通过API启动sequence发送给driver,...
寄存器模型中的adapter就是一个适配器,提供了reg2bus和bus2reg的接口,充当uvm_reg_map和uvm_sequencer中的转换器。uvm_reg_map操作uvm_reg_bus_op类型的item,而uvm_sequencer操作uvm_sequence_item类型的item。 adapter 继承uvm_reg_adapter,重写reg2bus和bus2reg这两个pure virtual function。