UVM_ERROR代表出现严重错误,需要立即暂停仿真并进行处理。而UVM_FATAL则表示仿真已经无法继续进行,需要立即终止。这四个信息严重性等级可以通过`uvm_info`语句重载,并可以使用`$sformatf()`系统函数打印带参数的字符串。不同的等级可以在仿真过程中设置不同的冗余度级别,当达到一定数量时,仿真会结束。©2022 Baidu |由 百度智能云 提供计算服务 | 使用百度前必读 | 文库协议...
如果把uvm_info语句的啰嗦程度类比为男子的身高,这里的车轮高度就是啰嗦容忍等级。身高越高就越惨;容忍等级越低,被杀的人越多。 比如上面代码片段2的四条打印语句,如果全局容忍等级是UVM_HIGH,那么前三行都会打印,第4行不会打印(因为太啰嗦了)。 通常tb搭建好之后,可能不方便修改uvm_info的啰嗦程度,比如用的VIP...
3.set_report_verbosity_level:设置某个特定的component 冗余度。 driver里面两个UVM_HIGH 的info。 base_test里设置为UVM_HIGH。仿真,两条都打印。改成UVM_MEDIUM,两条都不打印。 1)由于牵涉到层次引用,要在connect_phase即以后的phase才能调用。 2)如果不牵涉层次引用,如设置当前component的冗余度,可以在connect...
1. **消息级别**:定义了四个严重等级(INFO、WARNING、ERROR、FATAL),分别用于不同场景。2. **消息标识符(tag)**:每条消息附带标签,便于分类过滤(如通过`+UVM_VERBOSITY`命令行控制)。3. **处理方法**: - 可全局或局部重载消息处理行为(如屏蔽特定tag的打印)。 - 错误/致命消息默认触发仿真终止条件(可通过...
set_report_id_verbosity(“id_name”,xxx):将某个特定的组件,id名为id_name的uvm_info打印等级设定为目标等级。 使用方法:component.set_report_id_verbosity(“ID_1”, UVM_HIGH),component支持使用路径,比如在base_test中将driver内部id为driver_id0的uvm_info设定为UVM_NONE,在base_test的connect_phase中调...
uvm_info是一个带参数的宏,包含3个部分:ID(标示信息)、MSG(调试信息)、啰嗦程度。ID并非唯一,可为多条语句指定相同ID。MSG为打印信息,可直接指定或通过$sformat产生格式化字符串。啰嗦程度通过枚举类型指定,决定信息是否被屏蔽。通过uvm_report_enabled函数计算信息的啰嗦程度与系统容忍等级进行比较...
uvm_info会打印完整的文件路径及行号,本人希望将完整路径显示改正仅显示文件名。DS给出的答复如下。 实现方法1 classmy_testextendsuvm_test; `uvm_component_utils(my_test) functionnew(string name, uvm_component parent); super.new(name, parent); ...
这里说明:给一个组件设置更高的冗余等级将会暴露该组件更多的细节。组件和消息缺省的冗余等级是 UVM_MEDIUM。 task run_phase(uvm_phase phase);`uvm_info({get_type_name(),”::run_phase”},”starting run_phase”,UVM_HIGH)...`uvm_info({get_type_name(),”::run_phase”},”checkpoint 1 of ru...
`uvm_info("TAG", "Message", UVM_MEDIUM);```其中,TAG为打印信息的标签,Message为要输出的信息,UVM_MEDIUM为信息的级别。在使用Print函数时,需要注意以下几点:1. 打印信息的标签可以自定义,一般用于区分不同模块或功能的信息。2. 要输出的信息可以是字符串常量,也可以是变量或表达式。在输出变量或表达式...