uvm_pkg所包含的用于打印的全局对象,它们分别是: ※ uvm_default_tree_printer: 可以将对象按照树状结构打印。 ※ uvm_default_line_printer: 可以将对象数据打印到一行上面。 ※ uvm_default_table_printer: 可以将对象按照表格的方式打印。 ※ uvm_default_printer: UVM环境默认的打印设置,该句柄默认指向了uvm_de...
uvm_default_tree_printer: 可以将对象按照树状结构打印”; uvm_default_line_printer : 可以将对象数据打印到一行上; uvm_default_table_printer : 可以将对象按照表格的方式打印; uvm_default_printer : UVM坏境默认的打印设置,该句柄默认指向了 uvm_default_table_printer • 通过给全局打印机uvm_default_print...
`uvm_info ("COMPB", "Packet received", UVM_LOW) pkt.print(uvm_default_line_printer); return 1; endfunction
uvm_default_tree_printer: 可以将对象按照树状结构打印”; uvm_default_line_printer : 可以将对象数据打印到一行上; uvm_default_table_printer : 可以将对象按照表格的方式打印; uvm_default_printer : UVM坏境默认的打印设置,该句柄默认指向了 uvm_default_table_printer • 通过给全局打印机uvm_default_print...
phase.raise_objection(this); repeat (m_num_tx) begin #100; m_get_port.get (pkt); `uvm_info ("COMPB", "ComponentA just gave me the packet", UVM_LOW) pkt.print (uvm_default_line_printer); end phase.drop_objection(this); endtask...
uvm_default_printer.knobs.identifier=0; 65 $display("\n\n# This is from the line printer\n"); 66 my_obj.print(uvm_default_line_printer); 67 68 end 69 70 endmodule:top 71 133views and0likesPublic (anyone with the link can view)Published (will appear in search results...
1 .function void uvm_object::print(uvm_printer printer=null);//调用sprint打印本object 1. 如果printer没有提供将会使用uvm_default_printer。 2. See also uvm_line_printer, uvm_tree_printer, and uvm_table_printer for details on the pre-defined printer “policies,” or formatters, provided by th...
1.uvm_printer使用示例 (1) 在调用uvm_top.print_topology()函数时,可以不指定uvm_printer参数; (2) 在不指定uvm_printer参数的情况下,会使用uvm_default_table_printer; (3) 如果有需要指定uvm_printer参数,可以指定为其他参数,如uvm_default_tree_printer, uvm_default_line_printer或其他用户自定义的printer;...
(uvm_phase phase);phase.raise_objection(this);repeat(m_num_tx)beginbitsuccess;Packet pkt=Packet::type_id::create("pkt");assert(pkt.randomize());// Print the packet to be displayed in log`uvm_info("COMPA","Packet sent to CompB",UVM_LOW)pkt.print(uvm_default_line_printer);// Try ...
pkt.print(uvm_default_line_printer); m_put_portA.put(pkt); ap_port.write(pkt); end phase.drop_objection(this); endtask endclass class componentB extends uvm_component; `uvm_component_utils(componentB) uvm_blocking_put_imp #(packet, componentB) m_put_impB; ...