uvm_component的print_config函数 uvm_config_db静态函数dump UVM提供集中式的数据库,方便用户进行配置操作。但是在使用uvm_config_db的过程中,会出现配置不正确的情况。这篇文章给大家分享一下碰到这类问题如何进行调试。 +UVM_CONFIG_DB_TRACE 仿真选项 // Variable: +UVM_CONFIG_DB_TRACE // // ~+UVM_CONFIG...
+UVM_CONFIG_DB_TRACE: 打开访问Config_db的追踪(tracing)功能,可以看到config_db的set和get操作在什么时间由什么组件发起。 +UVM_PHASE_TRACE:打开Phase执行的追踪功能,可以看到不同phase的执行顺序。 +UVM_OBJECTION_TRACE:打开Objection相关活动的追踪功能,可以清晰地呈现出objection在运行中的状态。 +UVM_VERBOSITY:...
1. 使用+UVM_CONFIG_DB_TRACE和+UVM_RESOURCE_DB_TRACE命令行参数 UVM库在Command Line上提供了+UVM_CONFIG_DB_TRACE和+UVM_RESOURCE_DB_TRACE命令行参数,当运行仿真命令时,如果带上上述的参数,那么在log中会打印出对资源库的存和取的信息。+UVM_CONFIG_DB_TRACE用于uvm_config_db进行的存取,+UVM_RESOURCE_DB...
void'(uvm_config_db#(int)::get(this.m_parent, "i_agt.drv", "pre_num", drv_pre_num));`uvm_info("my_model", $sformatf("after get, the pre_num is %0d", drv_pre_num), UVM_LOW)endfunction//或void'(uvm_config_db#(int)::get(uvm_root::get(), "uvm_test_top.env.i_agt...
uvm_config_db#(int)::set(null,"uvm_test_top.fifo_env.wr_agt.drv","wr_stop_sig",wr_stop_sig) 1. uvm_config_db#(int)::get(this,"","wr_stop_sig",wr_stop_sig); 1. 因为是在top中set,第一个参数为null,会自动替换为uvm_root::get(),即uvm_top。
+UVM_CONFIG_DB_TRACE: 打开访问Config_db的追踪(tracing)功能,可以看到config_db的set和get操作在什么时间由什么组件发起。 +UVM_PHASE_TRACE:打开Phase执行的追踪功能,可以看到不同phase的执行顺序。 +UVM_OBJECTION_TRACE:打开Objection相关...
+UVM_CONFIG_DB_TRACE:打开访问Config_db的追踪(tracing)功能,可以看到config_db的set和get操作在什么时间由什么组件发起。 +UVM_PHASE_TRACE:打开Phase执行的追踪功能,可以看到不同phase的执行顺序。 +UVM_OBJECTION_TRACE:打开Objection相关活...
m_agent*, tag = Friend Expression set : "uvm_test_top.m_env.m_agent1*.Friend" cntxt = uvm_test_top, inst_name = m_env, tag = "Friend" Expression set : "uvm_test_top.m_env.Friend" CONFIG_DB_TRACE results: [CFGDB/SET] Configuration 'uvm_test_top.m_env.Friend' (type string...
我没有设置过,应该是UVM 默认设置的吧! 2)还是不会列出default_sequence 相关的信息。(我放在sequencer 的main_phase 里也没打印啊,为什么?) 3.命令行也行 <sim command> +UVM_CONFIG_DB_TRACE 4.上面两种都不会报告set 函数第二个参数的设置错误。
1+UVM_RESOURCE_DB_TRACE (9)+UVM_CONFIG_DB_TRACE:使能config_db访问的追踪; 1+UVM_CONFIG_DB_TRACE 3.控制仿真行为的命令行参数设置(与冗余度相关的,与严重性相关的,与配置相关的,与启动seq相关的,与重载相关的) (1) +uvm_set_verbosity:+uvm_set_severity=<comp>,<id>,<current severity>,<new sev...