UVM的组建类(uvm_component)是验证环境的骨架,用于验证环境的结构的创建。主要包括uvm_driver、uvm_monitor、uvm_sequencer、uvm_agent、uvm_scoreboard、uvm_env、uvm_test等。 在对组件类进行工厂机制的注册时,需使用宏`uvm_component_utils()。而对于组件的构建函数,其固定形式为: function new(string name, uvm_...
3.uvm_component_utils_begin:uvm_object_utils_begin 相似,用于同时需要factory 和field_automation 机制注册的类。最大的意义在于可以自动使用config_db得到某些变量的值。 4. uvm_component_param_utils_begin:用于参数化class 实现某些变量field_automation 机制。 5.uvm_component_utils_end:总是与uvm_component_*...
uvm_object/component_param_utils:它用于把一个直接或间接派生自uvm_object的参数化的类注册到factory中 uvm_object/component_utils_begin/end:当需要使用field_automation机制时,需要使用此宏 uvm_object/component_param_utils_begin/end:当参数化的且其中某些成员变量要使用field_automation机制 UVM的树形结构 uvm_c...
uvm_component_utils:用于把一个直接或间接派生自uvm_component的类注册到factory中。 uvm_component_param_utils:把一个直接或间接派生自uvm_component的参数化的类注册到factory中。 uvm_component_utils_begin:与uvm_object_utils_begin相似,用于同时需要使用factory机制和field_automation机制注册的类。 uvm_component_...
(b):create_component() create_component()是class uvm_object_wrapper的方法; 2.1将类注册到factory 2.1.1 实现方式 对于component类型,用下面的宏将class example_comp注册到factory:`uvm_component_utils(example_comp) 对于object类型, 用下面的宏将class example_object注册到factory:`uvm_object_utils(example_...
`uvm_object_utils_begin (my transaction) //对于object类型 //`uvm_component_utils begin (my transaction) //对于component类型 `uvm_field_int (ARG,FLAG) `uvm_field_real (ARG,FLAG) `uvm_field_enum (T,ARG,FLAG) `uvm field_object (ARG,FLAG) `uvm_field_string (ARG,FLAG) `uvm_field_...
function void my_component::build(); super.build(); ... endfunction ... UVM中phase代码 class my component extends uvm_component `uvm_component_utils(my_component) ... extern function void build_phase(uvm_phase phase); extern function void connect_phase(uvm_phase phase); ...
利用factory机制创建类的对象是UVM中特殊的用法,除了包括new函数的作用外,还具有其他功能。 m_driv = my_driver::type_id::create("m_driv", this); //参数和new一样,第一个为该对象的名字,第二个为父对象(一般指代agent) 类 宏 `uvm_component_utils ...
`uvm_component_utils(类名) uvm_component里的成员也可以像uvm_object里成员一样,用field_automation机制。 field_automation机制: 对于uvm_object派生类来说,field_automation机制让对象自动有的copycompareprintpackunpack等函数,简化了实现uvm_component派生类里一些function/task的工作量 对于uvm_component派生类来说,fi...