频率 I TX1270/RX1330 速度 万兆 尺寸 3.5 批号 2342423 颜色 银色 使用环境 -5-45 网络支持 I TX1270/RX1330 是否可拆 是 主要参数 I TX1270/RX1330 环境要求 -5-45 环境温度 -5-45 工作温度 -5-45 是否带SIM卡 不带SIM卡 是否支持VLAN 支持 是否支持一件代发 是 是否和电信公网链...
价格:商品在爱采购的展示标价,具体的成交价格可能因商品参加活动等情况发生变化,也可能随着购买数量不同或所选规格不同而发生变化,如用户与商家线下达成协议,以线下协议的结算价格为准,如用户在爱采购上完成线上购买,则最终以订单结算页价格为准。 抢购价:商品参与营销活动的活动价格,也可能随着购买数量不同或所选...
Current message level: 0x000000ff (255) drv probe link timer ifdown ifup rx_err tx_err Link detected: yes 接口eth2信息(WAN) root@OpenWrt:~# ethtool eth2 Settings for eth2: Supported ports: [ ] Supported link modes: 100baseT/Full 1000baseT/Full 10000baseT/Full 1000baseKX/Full 10000baseKR...
set_property IOSTANDARD LVCMOS18[get_ports {sfp_tx_dis[0]}] # gt clk set_property PACKAGE_PIN V31[get_ports gtrefclk_in_clk_p]set_property PACKAGE_PIN V32[get_ports gtrefclk_in_clk_n]create_clock -name gt_ref_clk -period6.4[get_ports gtrefclk_in_clk_p]set_property IOSTANDARD LVCMO...
Symmetric Link partner advertised auto-negotiation: Yes Link partner advertised FEC modes: Not reported Speed: 1000Mb/s Duplex: Full Port: MII PHYAD: 17 Transceiver: external Auto-negotiation: on Current message level: 0x000000ff (255) drv probe link timer ifdown ifup rx_err tx_err Link detec...
本公司生产销售单纤双向光模块 光模块,提供单纤双向光模块专业参数,单纤双向光模块价格,市场行情,优质商品批发,供应厂家等信息.单纤双向光模块 单纤双向光模块 品牌瑞斯莱特|产地广东|价格80.00元|型号SFP-GE-BX|波长850nm|类型光纤收发器|种类传感器|数据速率103.1Gbps|媒
波长 1490nm-TX/1550nm-RX 接口 LC单工 激光器类型 DFBBiDi 电源 3.3V 商业温度 0~70°C(32~158°F) 可售卖地 全国 型号 SFP-10G-BX80 瑞斯莱特10GBASE-BX 参数 包装细节:静态袋、塑料 PVC 包装、零售盒、技术盒或定制。 问:你们是贸易还是制造商? A: 我们是工厂。 问:你们的交货时间是多...
Maximum Tx optical power [dBm] 5 dBm Minimum Tx optical power [dBm] 0 dBm Minimum extinction ratio [dB] 3.5 dB Receiver Optical Characteristics Rx sensitivity [dBm] -18 dBm Overload power [dBm] -9 dBm NOTE: The single-fiber bidirectional function is supported. Single-fiber bidirectional (...
Minimum Tx optical power [dBm]-2.0 dBm Minimum extinction ratio [dB]9 dB Receiver Optical Characteristics Rx sensitivity [dBm]-26 dBm Overload power [dBm]-3.0 dBm NOTE: Single-fiber bidirectional transmission is supported. Single-fiber bidirectional (BIDI) optical modules must be used in pairs....
瑞东科技(FIBERWDM)千兆光模块 SFP 1.25G 单模 TX1550/RX1490nm 80KM 单纤双向 带DDM B端 京东价 ¥降价通知 累计评价 0 促销 展开促销 配送至 --请选择-- 支持 品牌名称:FIBERWDM 商品型号:RSPD-03BD80-5549 订货编码:100061910951 包装规格:-