set_output_delay –min {-Thd_ext + Td_ext_min} 至于为什么不写成(Thd_ext – Td_ext_min),是为了计算时与max一致。在验证建立时间是否满足时,在Latch确定了的情况下,需要Latch减去max得到Data Required Time。在验证保持时间时,为了计算一致,希望也用Latch减去min来得到Data Required Time,而这时Required的计...
/set_output_delay约束,set_max_delay/set_min_delay通常用于约束输入端口和输出端口间的纯组合逻辑路径。 set_max_delay另一个常用的场景是没有时钟关系的异步信号,但需要设置最大时延。两个异步时钟路径可以使用set_clock_group或set_false_path,从而不会进行时序分析。当异步时钟间的设计合理,如FIFO中的两级同步...
set_output_delay –min {-Thd_ext + Td_ext_min} 至于为什么不写成(Thd_ext – Td_ext_min),是为了计算时与max一致。在验证建立时间是否满足时,在Latch确定了的情况下,需要Latch减去max得到Data Required Time。在验证保持时间时,为了计算一致,希望也用Latch减去min来得到Data Required Time,而这时Required的计...
延时值Delay Value可设置正值或负值,并且设为正值或负值在set_input_delay/set_output_delay中表现不同,set_input_delay中的设置input delay值直接添加到Data Path的最前段,可理解为此段即为正常路径的Source Clock Path 再看output delay,延时值output delay添加到Destination Clock Path,约束为2ns,分析时为-2ns,...
时钟与数据在PCB上的传输延迟一致: Input delay max = T – Tsu; Input delay min = Th; 当时钟与数据到达FPGA的延时不一致时,计算公式如下: Input_delay_min = Th_min+ (T_data_max -T_clk_min) Input_delay_max = T-Tsu_max+ (T_data_min -T_clk_max) ...
max 正值尽量大 set_output_delay -max delay_vaule : delay_vaule 数值越大,对自身的约束越严格,对外部的要求越宽松,本质上是对setuptime的约束。 set_output_delay -min delay_vaule : delay_vaule 可以是负值,delay_vaule 数值越小,需要自身的延时(Tclk2q+Tc1)越大,本质上是对hold time的约束。delay_va...
set_output_delay –max {Td_max + Tsu_ext} 考虑外部寄存器的保持时间,可得: Launch + uTco + Td_in + Td_ext + Tsrc = Latch + Thd_ext 假如Td_in为Td_in_min,最糟糕的情况是Td_ext亦为最小。SDC对输出是min的定义如下: set_output_delay –min {-Thd_ext + Td_ext_min} ...
set_output_delay -add_delay -max -clock [get_clocks {CLK_OUT_48}] 4 [get_ports {DATA_OUT[14]}] set_output_delay -add_delay -min -clock [get_clocks {CLK_OUT_48}] -5 [get_ports {DATA_OUT[14]}] set_output_delay -add_delay -max -clock [get_clocks {CL...
对应的约束如下: set_output_delay -clock [get_clocks {clk}] -min -add_delay -1.0 [get_ports {led[*]}] 可以看出,对于源同步系统,output delay其实就是下游器件的建立时间和保持时间的要求。 Examples 1.输出数据比时钟延迟3ns的delay: create_clock -name clk -period 10 [get_ports clk_in] set...
max(+)---| # <---min(+)---| # # max/min arrows point to positive value convention # # set_output_delay -max 9 # set_output_delay -min 7 # # # # Alternative # # launched here latched here # | | # | | # V V # ___ ___ # Latch Clk ___| |___| |___ # ...