09、Group path 设置一组path或endpoints为group,有针对性地进行优化。指定endpoint后,所有通向这些endpoint的路径都在这组group里。 group_path-name “group1” -weight 2.0 -to {CLK1A CLK1B}group_path -name GROUP1 -from [get_ports ABC/in3] -to [get-ports FF1/D] 10、Clock uncertainty 在定义...
set_output_delay 1.3 –min –clock CLK1 –add_delay {Z} 使用-group_path选项增加端口给名称组。没有这个选项,到这些端口的路径被会加入到CLK分组。 set_output_delay4.5–max–clock CLK–group_path busA{busA[*]} 2.2.8. Set_max_area 将max_area属性加到当前设计中 set_max_are [-ignore_tns] ...
所剩下的规则应用到两个group_path排除或两个非group_path排除。 2.如果两个排除都是set_false_path。它们没有冲突。 3.如果一个排除是set_max_delay,另一个是set_min_delay,它们没有冲突。 4.如果一个排除是set_multicycle_path –hold,另一个是set_multicycle_path –setup,它们没有冲突。 5.如果一个...
group_path [-name group_name] [-default] [-weight weight_value] [-from from_list] [-rise_from from_list] [-fall_from from_list] [-to to_list] [-rise_to to_list] [-fall_to to_list] [-through through_list] [-rise_through through_list] [-fall_through through_list] 命令可以为...
group_path [-name group_name] [-default] [-weight weight_value] [-from from_list] [-rise_from from_list] [-fall_from from_list] [-to to_list] [-rise_to to_list] [-fall_to to_list] [-through through_list] [-rise_through through_list] [-fall_through through_list] 命令可以为...
1.两个group_path命令可能彼此冲突。但一个group_path自身排除不会与另一个类型的排除相冲突。所剩下的规则应用到两个group_path排除或两个非group_path排除。 2.如果两个排除都是set_false_path。它们没有冲突。 3.如果一个排除是set_max_delay,另一个是set_min_delay,它们没有冲突。 4.如果一个排除是set...
要将时钟从分配的组中删除,使用group_path命令分配时钟到另一个组或默认路径组。更多的信息可参考group_path命令帮助。 新的时钟有理想时序,不能通过时钟网络传播延迟。使用set_propagated_clock命令让时钟能够进行延迟传播。要添加偏差(skew)和不确定因素(uncertainty)到理想波形中,使用set_clock_latency或set_clock_...
2.2.2.create_generated_clock 2.2.3. Set_clock_latency 2.2.4. Set_propagated_clock 2.2.5. Set_clock_uncertainty 2.2.6. Set_input_delay 2.2.7. Set_output_delay 2.2.8. Set_max_area 3.Other commands 3.1.set_clock_groups 3.2.set_false_path 3.3.set_case_analysis 3.4.set...
要将时钟从分配的组中删除,使用group_path命令分配时钟到另一个组或默认路径组。更多的信息可参考group_path命令帮助。新的时钟有理想时序,不能通过时钟网络传播延迟。使用set_propagated_clock命令让时钟能够进行延迟传播。要添加偏差(skew)和不确定因素(uncertainty)到理想波形中,使用set_clock_latency或set_clock_...
49、a intsset_max_capacitanceset_max_fanout set_max_transition set_min_capacitanceTimi ng con stra intscreate clockcreate_generated_clock group_path set_clock_gating_check set_clock_groups set_clock_latency set_clock_sense set_clock_transition set_clock_uncertainty set_data_check set_disable_timi...