create_generated_clock -divide_by 2 -name CLKG -source [get_ports CLK] [get_pins FF1/Q] set_clock_latency value [get_clocks CLKG] #设置延时 set_clock_uncertainty value [get_clocks CLKG] #设置不确定性 set_clock_transition value [get_clocks CLKG] #设置转换时间 除-divide_by之外,还可...
set_clock_uncertainty 2 –from [get_clocks CLKA] –to [get_clocks CLKB] set_clock_uncertainty 2 –from [get_clocks CLKB] –to [get_clocks CLKA] 命令冲突时内部时钟不确定设定优先,但如果有从CLKA到其他时钟的路径时,第一个命令将发生作用。 set_clock_uncertainty5[get_clocksCLKA]set_clock_u...
create_clocks -name clk_name -period 10 [get_ports clk_in] 这是最基本的时钟约束语句,为时钟管脚创建一个时钟,综合工具会根据创建的时钟进行布局布线,并给出时序分析报告,若发生时序违例,则需要对设计进行优化修改。 2.时序约束例外 (1)假路径约束 例:set_false_path -from [get_clocks clka] -to [ge...
create_clock-nameCLKM -period 10 [get_portsCLKM] set_multicycle_path 3 -setup -from[get_pins UFF0/Q] -to [get_pinsUFF1/D] 接下来考虑hold时间检查,默认的hold检查是setup之前的有效沿(2ndedge),但是实际上数据不需要经过这么久的延时,实际上hold检查的合理有效沿需要提前2个周期(setup-1),因此ho...
有个debug的小点是,为了便捷,这边用变量的方式声明的clock name,但是到命令里面去调用的时候发现类似于set_clock_groups -asynchronous -group [get_clocks {$clk1_name $clk2_name $clk3_name}] -group [get_clocks $clk4_name]这种写法是不识别的,最后发现还是得老老实实声明一个list变量来处理。
set_input_delay-min-add_delay-clock[get_clocks{CLK}]15[get_ports{IO}] -add_delay:通知工具除了现存的约束外,这是一个额外的约束,不会覆盖前一个约束;如果没有-add_delay,那么后面的约束会覆盖前面的约束。 通过SDC命令set_output_delay在输出端口指定延迟; ...
要处理多时钟情况,请使用名为 get_clocks_driving_pin 的自定义程序,如“馈入引脚的时钟”设计示例所述。要使用此自定义程序,必须从“馈入引脚的时钟”设计示例页面对其进行复制。可以将其另存为添加到项目中的单独 SDC 文件,或者将其复制并粘贴到包含约束可重用区块的所有其它约束的一个 SDC 文件中。如果将其另...
set_propagated_clock [get_clocks MCLK] set_propagated_clock [get_ports MCLK] 有了这种明确的条件申明,就不必依赖优先级规则了,并且 SDC 命令会非常清楚。 A.3 时序约束 本节将介绍与时序约束有关的 SDC 命令。 create_clock -period period_value [-name clock_name] [-waveform edge_list] [-add] [...
set_input_delay-max-add_delay-clock[get_clocks{CLK}]15[get_ports{IO}]set_input_delay-min-add_delay-clock[get_clocks{CLK}]15[get_ports{IO}] -add_delay:通知工具除了现存的约束外,这是一个额外的约束,不会覆盖前一个约束;如果没有-add_delay,那么后面的约束会覆盖前面的约束。
Design example that shows a custom procedure, which you can use in SDC files, that returns a list of all clocks that feed a pin. Learn more from Intel.