pt_shell>set_operation_conditions -min BEST -max WORST pt_shell>report_timing -delay_type min pt_shell>report_timing -delay_type max 3. on-chip variation模式 PT 进行保守时序分析。如在进行setup检查时,对发送寄存器clock路径和数据路径使用max delay,对锁存寄存器的clock路径使用min delay。在进行hold检...
if {$path_delay > $max_delay} { set max_delay $path_delay } 4.这样所有timing path的arrival time、startpoint和endpoint都被记录下来。从里面找出最大delay的路径,对其中的一些小尺寸stdcell进行size,就可以降低该路径的delay。对于最小delay的路径,插入一些buffer就可以增加该路径的delay。相应的命令如下所示...
pt_shell>set_operation_conditions -min BEST -max WORST pt_shell>report_timing -delay_type min pt_shell>report_timing -delay_type max 3. on-chip variation模式 PT 进⾏保守时序分析。如在进⾏setup检查时,对发送寄存器clock路径和数据路径使⽤max delay,对锁存寄存器的clock路径使⽤min delay。
step1b: Load Libraries and check// 加载库并检查 set search_path " . . / ref / libs .. / ref /design set link_path " * sc_max.db io_max.db link_design ; #Load Libraries and Resolve References # Arethe Libraries loaded? list libs OR list_libraries OR get_libs Library Registry :...
5.Report_timing –delay max :看setup time 6.Report_timing –delay min :看hold time 7.Pt_shell –x “restore_session orca_savesession” :继续原来保存的信息8.Set sh_enable_page_mode true : 分页显⽰9.Report_lib libname :看库的信息 10. Report_timing –group SYS_clk :看某个时钟的...
set_max_area 0 (set_max_delay 5 -from a set_min_delay 2 –from a)用于完全组合电路。 set_dont_use {typical_1v2c25/I*}表示不调用以I开头的cell。 create_clock –name clk –period 2这是设置的虚拟时钟用于组合电路。 dcprocheck run.tcl检查synopsys tcl脚本命令。
“setup hold” -sort_by slack -sort_by check_type Report_timing :显示the worst slack for setup time Report_timing –delay max :看setup time Report_timing –delay min :看 hold time Pt_shell –x “restore_session orca_savesession” :继续原来保存的信息 Set sh_enable_page_mode true : ...
set_max_area 0(set_max_delay 5 -from aset_min_delay 2 -rom a)用于完全组合电路。set_dont_use typical_1v2c25/l*表示不调用以 15、I开头的 cell。 create_clock -name clk -period 2这是设置的虚拟时钟用于组合电路。dcprocheck run .tel 检查 synopsys tcl 脚本命令。输出端口 outl并列驱动三个...
“setuphold”-sort_byslack-sort_bycheck_type4.Report_timing:显示theworstslackforsetuptime5.Report_timing–delaymax:看setuptime6.Report_timing–delaymin:看holdtime7.Pt_shell–x“restore_sessionorca_savesession”:继续原来保存的信息8.Setsh_enable_page_modetrue:分页显示9.Report_liblibname:看库的...
pt_shell> set_output_delay 1.0 [get_port Y_OUTPUT] -clock $clock pt_shell> set_driving_cell -lib_cell IV -library pt_lib [all_inputs] pt_shell> set_capacitance 0.5 [all_outputs] pt_shell> check_timing 保存设置: 将所设置的时序信息保存为脚本文件可以确保在接下去的运行中保留一个时序环...