归纳常用的PT命令,便于工作中应用。 1. 报时序的命令 report_timing -from A -to [get_clocks {clkA}] -delay_type min report_timing -from B -to [get_ports {B}] -delay_type min min:表示报hold 的 时序,max:表示报setup的 时序 这个命令还有其他的选项,可以通过 man report_timing 来查看。 2...
report_timing : 只报告出-0.3 slack的路径 report_timing -max_paths 2:会报告出两条路径,但是每一个end point只能显示一条路径,即报告出-0.3和-0.15这两条路径。 report_timing -max_path 2 -nworst 2 : 会报告出两条路径,且每个endpoint会报告两条路径,即报告出-0.3和-0.25这两条路径。 注意1:当endpo...
report_case_analysis:此命令用于显示用户设置的情况分析值。PT显示一个报告,确认引脚/端口列表以及对应的情况分析值。 report_timing:类似于DC,这个命令用于生成设计中路径段的时序报告。 report_constraint:类似于DC,PT中的这个命令检查由设计者或工艺库定义的DRC。 其他各种命令 write_sdf:此命令生成包含设计中每个实...
二.一些命令的叙述 1.Setup time : data required time – data arrival time 2.Hold time : data arrival time – data required time 3.Report_analysis_coverage :看一下所有错误的基本情况 -status violated -check “setup hold” -sort_ by slack -sort_by check_type 4.Report_timing :显示the worst...
report_timing:类似于DC,这个命令用于生成设计中路径段的时序报告。 report_constraint:类似于DC,PT中的这个命令检查由设计者或工艺库定义的DRC。 其他各种命令 write_sdf:此命令生成包含设计中每个实例的延迟和时序检查的SDF文件。在布图前阶段PT使用线载模型估计单元延迟。在布图后,当生成SDF文件时,PT使用实际标注...
二.一些命令的叙述 1.Setuptime:datarequiredtime-data arrivaltime 2.Holdtime:dataarrivaltime-datarequiredtime 3.Report_analysis_coverage:看一下所有错误的基本情况 -statusviolated -check“setuphold” -sort_byslack -sort_bycheck_type 4.Report_timing:显示theworstslackforsetuptime ...
report_timing #report_timing -delay_type min report_constraint -all_violators -min_delay 在PT产生ECO脚本之后,便可以在ICC中take ECO了。 更多资料欢迎移步微信公众号《数字集成电路设计及EDA教程》 网易云课堂视频课程 链接:https://study.163.com/course/introduction/1005909004.htm ...
pt_shell>report_reference … 检查时序声明和设计的结构: 在进行时序分析之前运行check_timing命令是关键。这个命令能够检查到所有可能的时序问题。 在这个例子中将会出现警告,原因是存在没有约束条件的端口。 运行时序分析 设置端口延时并检查时序: pt_shell> set_input_delay 0.0 [all_inputs] -clock $clock ...
check_type Report_timing :显示the worst slack for setup time Report_timing –delay max :看setup time Report_timing –delay min :看 hold time Pt_shell –x “restore_session orca_savesession” :继续原来保存的信息 Set sh_enable_page_mode true : 分页显示 Report_lib libname :看库的信息 10....
pt_shell>report_design … pt_shell>report_reference … 单单单序 明和单单的单 :声构 在单行单序分析之前 行运 check_timing 命令是单单。单 命令能单单单到所有可能的单序单单。 个 在单 例子中 出单警告,原因是存在 有单束 件的端口。 个 将会 没条 行单序分析 运 ...