vsim -coverage -voptargs="+acc" -t ns test // 仿真文件为test.v add wave * // 将所有模块waveform. dump出来 add wavesim:/test/t/M2/Reg_out // 将模块Reg_out中的waveform. dump出来 delete wave /test/i 2. SVA 断言仿真命令 vlog -sv a.v vsim -assertdebug test view assertions vsim...
4 vsim -novopt work.m52180_tb vsim 启动modulsim仿真命令 work.固定用法,后面接上测试模块的模块名vsim -voptargs=+acc work.tb_top -voptargs=+acc 是固定命令脚本,表示优化部分参数 设计优化功能是用来提高仿真速度的,对于小工程,选择不优化或者选择优化其实对仿真速度的影响微乎其微,根本感觉不到:有三种...
-voptargs="+acc"是允许自动优化,可加可不加。 1#删除work工作目录2quit -sim3.main clear45vlib work6vlog -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF *.sv7vlog -cover sbctf -coveropt3../rtl/*.v8vlog -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF ../glbl/glbl.v910vsim -voptargs...
在Modelsim中执行下面的tcl语句: vsim -voptargs=+acc work.multiplier_adder_fsm_testbench virtual type { {0b0001 IDLE } {0b0010 MULTIPLY } {0b0100 ADD } {0b1000 DONE } } FSM_TYPE virtual function {(FSM_TYPE) /multiplier_adder_fsm_testbench/dut/state} state1 add wave -position inser...
vsim -t ns -voptargs=+acc work.tb_top -l ./cmp.log -wlf ./vsim.wlf #add wave and divider #record all wave #log -r /* #record designation level wave add wave tb_top/* #run time run 1ms 以下是rtl_file文件夹内的内容
vsim -voptargs=+acc work.tb_ex_shift_reg #⑦添加波形与分割线。 #添加波形:就是添加要显示波形,语法格式:add wave <mydesign>/<signal> #。。。如果添加的波形不只是顶层模块的,还有顶层下面的例化模块的信号, #就是#add wave 测试顶层的名字/例化子模块的例...
vsim-voptargs=+accwork.tbwork.tb表示对work库中的tb进行仿真,实际相当于在界面操作时,展开work库,右键—>SimulatewithoutOptimization,启动仿真。 代码意思是:优化部分参数(-voptargs=+acc),链接前面建立的work逻辑库,启动测试逻辑库(work)里面的x_tb文件 ...
quit-sim vlib work #编译修改后的文件,我这里把设计文件和仿真文件分开放了,所以写两个。 vlog"../Src/*.v"vlog"../Sim/*.v"#开始仿真 vsim-voptargs=+acc work.tb_Cordic_Cos_Sin #添加指定信号 #添加顶层所有的信号 # Set the window types ...
仿真脚本sim.do文件: #Build a new libraryvlib work #Switch to the emulation source file directory vlog +acc “tb_vending_machine.v”vlog +acc “vending_machine.v” #Start simulation vsim -voptargs=+acc work.tb_vending_machine #Add all the signals on the top layeradd wave *#do wave.do...
vlog -work work test_gaowin_sdr.v # Run simulation vsim -voptargs=+acc -t 1ps work.test_gaowin_sdr -L E:/modeltech64_10.7/gaowin/gw1n/prim_sim -L E:/modeltech64_10.7/gaowin/hardware_core/gw1n/hardcore_sim #view wave #add wave * ...