vlog -F ./rtl_file/dut.f #Start-up simulation vsim -t ns -voptargs=+acc work.tb_top -l ./cmp.log -wlf ./vsim.wlf #add wave and divider #record all wave #log -r /* #record designation level wave add wave tb_top/*
其他新建的库也要这样的方法映射。 e).vlog +acc–work work“file_path/*.v”: 编译“file_path”目录下所有.v文件,并将 其添加进工作库(work)中,包括IP生成的V文件也要编译的。或者:vlog -f top_tb.f,top_tb.f是.v的列表文件。编译时可以分开单独编译,但是一定要注意顺序,先编译被调用的文件。在综...
2.新建文件,改名为path.f 在这个文件中输入要仿真的源代码的相对路径: ../core/test.v ../core/tb.v 2.新建sim.do文件,tcl脚本文件,用于控制modelsim仿真 输入代码: #带#号为注释部分 #建立工作库并映射工作库 vlib work vmap work work #编译verilog源代码 vlog -f path.f #编译systemc代码 vccom -...
6. vlib work (建工作库),此时从库文件中含有modelsim自动生成的_into文件。 7. vlog –f tb.f (编译 .v文件),此时库文件加入了cnt.v 和 tb_cnt.v模块 8. vsim -voptargs=”+acc” tb_cnt (在testbench中测试源代码),此时sim文件夹里出现了vsim文件,work文件夹里有一些仿真文件。同时在modelsim中...
6. vlib work (建工作库),此时从库文件中含有modelsim自动生成的_into文件。 7. vlog –f tb.f (编译 .v文件),此时库文件加入了cnt.v 和 tb_cnt.v模块 8. vsim -voptargs=”+acc” tb_cnt (在testbench中测试源代码),此时sim文件夹里出现了vsim文件,work文件夹里有一些仿真文件。同时在modelsim中...
vlog -f rtl.f vsim work.cmd_control_tst run 1000ms quit 4、编写批处理脚步文件,调用命令行ModelSim生成波形文件,再调用Debusyy查看。 run.bat如下: ::关闭回显 @ECHO OFF ::设置软件路径 SET debussy=C:\Novas\Debussy\bin\Debussy.exe SET vsim=D:\modeltech_6.5g\win32\vsim.exe ...
vlog *.v // 编译所有后缀为.v的文件 vsim Lab_tb // 仿真(模块名称) add wave /* // 将camera_tb下的所有信号变量加入到wave窗口中,注意”*”前必须有空格 run 2000 // 或者用run –all等运行 view dataflow // 用navigate nets观察dataflow,不想观察就可以不加这条指令 ...
7.vlog –f tb.f (编译.v文件),此时库文件加入了cnt.v 和tb_cnt.v模块 8.vsim -voptargs=”+acc” tb_cnt (在testbench中测试源代码),此时sim文件夹里出现了vsim文件,work文件夹里有一些仿真文件。同时在modelsim中出现sim项。 9.右击sim项中的实例名称,点击add to wave all items in...
5、用来打开run.do文件run .do:主要的控制文件src.f:设计文件的路径列表cfg.f: ise安装目录下verilog库文件的路径下面为各个文件的内容1. runtb .batvsim -do do run .do ;2 .run.doquit -sim vlib work vlog -f src.f -f cfg.f ./src/tb.vvsim -t ps -L Xili nxCoreLib_ver -L uni sims...
e).vlog +acc–work work“file_path/*.v”:编译“file_path”目录下所有.v文件,并将其添加进工作库(work)中,包括IP生成的V文件也要编译的。或者:vlog -f top_tb.f,top_tb.f是.v的列表文件。编译时可以分开单独编译,但是一定要注意顺序,先编译被调用的文件。在综合后仿真和布局布线后仿真的时候,这里添...