我们今天开场白说的get_name(), get_full_name(), get_type_name() ,set_name()这些小函数,用的时候,还真是各自class各自的定义!在UVM中的class主要指的就是最常用的他们:uvm_component,uvm_sequence_item。怎么样?有没有初学者平时玩这些小函数稀里糊涂的?来,今天和Jerry一起把这里的东西弄清楚!...
get_full_name():根据是不是UVM树的节点分为两种情况,一种是扩展自uvm_component的时候,返回的是uvm_test_top.开始的路径图,也就是get_name()的拼接,另一种情况是扩展自uvm_object的时候,get_full_name()=get_name(). get_type_name():返回的是class的名称,也就是uvm_component_utils()/uvm_object_uti...
对于uvm_component,这个get_type_name(),就是class的名字。 4. get_type_name()会打印出: “jerry_component”。 对于uvm_component,这个get_type_name(),就是class的名字。 02、uvm_sequence_item中get_name系列小函数 classjerry_trextendsuvm_sequence_item; … `uvm_object_utils_begin( jerry_tr ) … ...
对于uvm_sequence_item,get_full_name()与get_name()一样!因为它是不作为UVM树节点的,所以路径没有别的层次。这点保留了uvm_object原本的函数性质。 3. u_tr.get_type_name()会打印出: “jerry_tr”。 实际上,在原始的uvm_object的get_type_name()传出的是<unknow>,也就是无返回值的!但是我们为什么看...
$display(“%0s”,get_name()); $display(“%0s”,get_full_name()); $display(“%0s”,get_type_name()); … endclass 而这个class在env中例化: class jerry_env extends uvm_env; … jerry_component u_jerry_c; … function void build_phase (uvm_phase phase); ...
get_type_name() uvm_component.svh uvm_object.svh 通过源代码我们可以看出,如果派生自uvm_component/uvm_object的函数没有重写get_type_name()的话,那么结果应该是源代码中的值,但实际上从第一张图上,我们就可以清楚地发现,其实跟我们的预期是不一样的,那么问题出在什么地方呢?
1 get_type_name()会得到class 的名字 2 get_name()会得到class实例化string name 的字符串 3 get_full_name()全路径
public java.lang.String getColumnTypeName(int column) 參數column指出資料行索引的 int。傳回值String,包含此資料行的伺服器名稱。例外狀況SQLServerException備註這個getColumnTypeName 方法是由 java.sql.ResultSetMetaData 介面中的 getColumnTypeName 方法所指定。Microsoft...
publicenumenum_GETNAME_TYPE { GN_NAME =0, GN_FILENAME =1, GN_BASENAME =2, GN_MONIKERNAME =3, GN_URL =4, GN_TITLE =5, GN_STARTPAGEURL =6}; 字段 GN_NAME 指定文档或上下文的友好名称。 GN_FILENAME 指定文档或上下文的完整路径。
enumenum_GETNAME_TYPE { GN_NAME =0, GN_FILENAME =1, GN_BASENAME =2, GN_MONIKERNAME =3, GN_URL =4, GN_TITLE =5, GN_STARTPAGEURL =6};typedefDWORD GETNAME_TYPE; Members GN_NAME Specifies a friendly name of the document or context. ...