create_clock -name CLK -p $PERIOD [get_ports clk] -waveform {0, 5} set_clock_uncertainty 0.3 CLK set_input_delay 3 -clock CLK [all_inputs] set_output_delay 3 -clock CLK [all_outputs] set_dont_touch clk ###Compile Option### compile -map_effort medium 》 $RPTDIR/compile.rpt ##...
以前状态:做MCU和Android,Android比较一般,做了两个项目,后面找专人在做,MCU应该算比较优秀吧。目标...
create_clock -name CLK -p $PERIOD [get_ports clk] -waveform {0, 5} set_clock_uncertainty 0.3 CLK s0set_input_delay 3 -clock CLK [all_inputs] set_output_delay 3 -clock CLK [all_outputs] set_dont_touch clk ###Compile Option### 0compile -map_effort medium > $RPTDIR/compile.rpt ...
create_clock -name CLK -p $PERIOD [get_ports clk] -waveform {0, 5} set_clock_uncertainty 0.3 CLK set_input_delay 3 -clock CLK [all_inputs] set_output_delay 3 -clock CLK [all_outputs] set_dont_touch clk ###Compile Option### compile -map_effort medium > $RPTDIR/compile.rpt ##...
综合需要三种输入,一个是代码,一个是综合库,一个是综合脚本script。综合脚本主要包括了一下内容,对综合工具环境的配置,对综合对象外部环境的设置(operation condiction),对WLD的设置,对综合对象的时序的约束,综合策略,综合优化,综合报告和输出。下面给入门的人一个现成的script例子。(tcl script) ...