4:objects名字相同时 加在net上,5个单位的电容会覆盖原电容值;加在port上,5个单位电容与原电容值x并联,总电容值为(5+x)个单元。 改进: set_load 5 [get_net sum]:加载在net上 三:相关命令 1:get_* 返回一组objects;使用echo返回objects的句柄 set_load 5 [get_ports addr_bus*] *是模式匹配里多个...
//设置输出负载set_load5[get_portsout1]//设置out1端口负载为5,单位一般是pf,可用report_lib查看set_load[load_ofmt_lib/anda0/A][get_portsout1]//设置out1负载是anda0的A管脚负载set_load[expr[load_ofmy_lib/inva0/A]*3]out1//out1负载相当于接了三个inva0的A管脚//设置输入驱动set_driving_c...
用户可以通过set_load命令来为输出端设置负载(该命令对当前设计有效),其语法为:set_load尢负载电容值:设定的负载电容值,必须为非负数,其单位在库文件中定义尢对象列表:设定负载电容的对象,可以是输出口、输出管脚、互连线等例3-5(将ddfs的所有输出口的输出负载设为0.1pF)current_designddfsset_load0.1all_ouputs...
可以使用set_dirive 和set_driving_cell,或者用set_input_transition,注意这三者区别! 2.12 输出端口要驱动多大的负载? 使用set_load 对输出电容值进行约束,单位根据工艺库的define所定。 2.13 DC是基于path的综合,那么在约束时如何体现? 我们知道,基于path会有四种路径形式,DC中提供 create_clock 定义寄存器和寄存器...
使用set_load指令对输入/输出容性负载进行定义。 输入端口负载DC可以自动获取,不需要人为设置。 6.3 定义输出端口扇出负载 set_fanout_load:用于约束输出端口,设置该级扇出负载为N,告诉下一级cell,最大的max_fanout要至少大于N + 已知load。 而上面那句话介绍的set_max_fanout是用来约束输入端口的,限制前一级的...
使用set_wire_load_model 命令, 如:set_wire_load_model -name KME -library libr 1.31 如何得知...
可以使用set_dirive 和set_driving_cell 2.12 输出端口要驱动多大的负载? 使用set_load 对输出电容值进行约束,单位根据工艺库的define所定。 2.13 DC是基于path的综合,那么在约束时如何体现? 我们知道,基于path会有四种路径形式,DC中提供 create_clock 定义寄存器和寄存器之间的路径; ...
setload 1.2 [ getports IN1] 那么DC可以给输入端口 IN1 施加的最大内部负载是:1.8 - 1.2 = 0.6pf。 我们可以用“setmaxcapacitance 3. 0 $ current_design”命令为整个设计中加入最大电容的设计规则。此处,用了3. 0为最大的电容值,设计时我们可以根据工艺库和电路的具体情况,选用合适的数值。要注意不要...
使用set_load 对输出电容值进行约束,单位根据工艺库的define所定。 2.13 DC是基于path的综合,那么在约束时如何体现? 我们知道,基于path会有四种路径形式,DC中提供 create_clock 定义寄存器和寄存器之间的路径; set_input_delay 定义输入与寄存器之间的路径; ...
Design Compiler工具本身是没有单位的。然而在建立工艺库和产生报告时,必须要有单位。库中有6个库级属性定义单位:time_ unit(时间单位)、voltage_unit(电压单位)、current_ unit(电流单位)、pulling_resistance_unit(上/下拉电阻单位)、capacitive_load_unit(电容负载单位)、leakage_power_unit(漏电功耗单位)。