使用set_load指令对输入/输出容性负载进行定义。 输入端口负载DC可以自动获取,不需要人为设置。 6.3 定义输出端口扇出负载 set_fanout_load:用于约束输出端口,设置该级扇出负载为N,告诉下一级cell,最大的max_fanout要至少大于N + 已知load。 而上面那句话介绍的set_max_fanout是用来约束输入端口的,限制前一级的...
如果没有使用set_operating_condition明确指定操作条件,工具将自动使用逻辑库内定义的默认的操作条件。 可以使用report_design命令查看当前设计所使用的操作条件。 2. 接口特性 接口特性包括输入驱动(Input Driver)、输入输出负载(IO Load)及扇出负载(Fanout Load)等三个方面。 2.1 定义输入端口的外部驱动特性 外部驱动能...
IO相关的设置:set_input_transition设置输入端口的transition;set_driving_cell设置驱动输入端口的单元;set_load设置输出端口的负载电容。 其中,input transition和driving cell都可以设置rise或fall(正沿或反沿可以采用不同的design rule约束)。 时序例外 timing exception主要包括false path和multicycle path的设置(PT中将...
我们可以用set_ load命令来指定那个输出端口的实际电容负载。set_ load命令帮助DC在综合时遵从驱动单元的最大电容设计规则,但该命令并没有为驱动单元的扇出提供独立的约束。在输出端口使用set_ fanout_ load命令时,我们可以为输出端口建立额外的预期扇出负载模型,综合时DC同时也会使内部驱动单元的最大扇出遵守设计规则...
set_load 5 [get_nets SUM]所有输入、输出、时钟、寄存器等查找。all_inputs all_outputs all_clocks all_registers这些返回都是集合query_objects <name>;sizeofcollection<name>;sizeofcollection<name_>集合是系统中获取到的句柄 echo $a是返回了集合的句柄,在设置了名为a的集合后, 查看集合的内容,查看集合...
#1:set_wire_load_model: 设置连线负载模型, 为了估计模块输出的时序—transition time;DC默认输出负载为0 负载模型可以通过report_lib libraryname命令下查看线的模型种类,如下图是fast.db库中的几种线的模型。 在布局布线前应使用较悲观的模型,对最坏的情况做综合,线负载模型由目标库提供。-max–min选项指定该模...
总流程1:库的设置:设计的读入3:设置环境属性(1)set_operating_conditions()set_wire_load_model和set_wire_load_mode(3)setload(4)set_drive或者set_driving_cell4:设计规则约束(1)set_max_transtion()set_max_capacitance(3)set_max_fanout5:优化约束(
设置概要操作流程set流程load 总流程1:库的设置2:设计的读入3:设置环境属性(1)set_operating_conditions(2)set_wire_load_model和set_wire_load_mode(3)setload(4)set_drive或者set_driving_cell4:设计规则约束(1)set_max_transtion(2)set_max_capacitance(3)set_max_fanout5:优化约束(1)create_clock(2)se...
TCL modeSet_load 3 find(net,”Clk”)dc_shell mode路径的划分与合法路径DC综合的时候是基于路径的优化,对于DC来说,合法的路径必须满足以下条件 路径的起点为输入端口或者是触发器的数据端 路径终点为输出端口或者是触发器的时钟端DC设定的限制条件的值都是无单位的,这些单位都是由工艺库中给定的可以用report_li...
DC定义设计环境时所使用的模型如下图所示,该步骤主要需要设置驱动(drive)和负载(load)相关的参数,使用set_drive命令设置输入端口的驱动电阻,使用set_load命令设置输出端口的负载电容,使用set_driving_cell设置驱动使用的基本单元,使用set_fanout_load设置预期扇出负载值,使用set_wire_load_model设置线负载模型(线负载模型...