IO相关的设置:set_input_transition设置输入端口的transition;set_driving_cell设置驱动输入端口的单元;set_load设置输出端口的负载电容。 其中,input transition和driving cell都可以设置rise或fall(正沿或反沿可以采用不同的design rule约束)。 时序例外 timing exception主
set_driving_cell 模拟端口驱动器件,工具在库中找到更真实的transition。 set_drive 通过为input/inout端口指定电阻值来定义外部驱动强度。 set_input_transition 指定端口transition time。 对于设计顶层端口进行驱动定义时,不可以使用set_drive_cell,因为不知道顶层端口的驱动单元是什么。
set_input_transition 时间 [get_ports 设计对象] ·设置电容负载(set_load与set_load load of): 明确说明端口(输入或输出)上的外部电容负载。对于输出端,为了精确地计算输出电路的延时时间,DC需要知道输出单元所驱动的总负载: 默认情况下,DC假设端口上的外部电容负载为0。我们可以指定电容负载为某些常数值,也可以...
45set_input_transition命令:Sets themax_transition_rise,max_transition_fall,min_transition_rise, ormin_transition_fallattributes to the specified transition values on the specified input and inout ports. set_input_transitiontransition[-rise] [-fall][-min][-max]port_list 46set_driving_cell命令:Sets...
setmaxtransition、setmaxfanout、setmaxcapacitance 主要是设置最大转换时间、最大扇出及最大负载电容要求,可以设置在输入端口、输出端口以及当前设计。举个前面的环境约束的例子说,比如我约束了输入端口的最大转换时间和负载,也约束输出最大扇出,如下图所示: ...
set_input_transition 时间 [get_ports 设计对象] ·设置电容负载(set_load与set_load load of): 明确说明端口(输入或输出)上的外部电容负载。对于输出端,为了精确地计算输出电路的延时时间,DC需要知道输出单元所驱动的总负载: 默认情况下,DC假设端口上的外部电容负载为0。我们可以指定电容负载为某些常数值,也可以...
set_load 0.12 [all_inputs] set_input_transition 0.12 [remove_from_collection [all_inputs][get_ports B]] set_driving_cell -lib_cell FD1 -pin Q [get_ports B] 与物理设计有关的约束: create_bounds ... create_rp_groups... set_app_var placer_soft_keepout_channel_width... set_app_var...
setinputtransition 时间 [get_ports 设计对象] ·设置电容负载(setload与setload load of): 明确说明端口(输入或输出)上的外部电容负载。对于输出端,为了精确地计算输出电路的延时时间,DC需要知道输出单元所驱动的总负载: 默认情况下,DC假设端口上的外部电容负载为0。我们可以指定电容负载为某些常数值,也可以通过用...
使用set_load 对输出电容值进行约束,单位根据工艺库的define所定。 2.13 DC是基于path的综合,那么在约束时如何体现? 我们知道,基于path会有四种路径形式,DC中提供 create_clock 定义寄存器和寄存器之间的路径; set_input_delay 定义输入与寄存器之间的路径; ...
dont_touch和set_dont_touch_network*** 输⼊端⼝到时序器件的数据端⼝。要求时间=T2+Tlatency-Tuncertainty_setup-Tsetup 到达时间=T1+Tlantency+Tinput_delay+Tlogic2 时序器件的输出管脚到输出端⼝ 上图中:要求时间=T2+Tlatency-Toutput_delay-Tuncertainty_setup ...