[-edge_shift {shift1, shift2, shift3} ] : 可为负数或正数,1就代表edge shift1个lib time unit [-multiply_by freq_factor]:倍频因子 [-duty_cycle percent]:指定占空比 [-invert] :相位是否翻转,先建generated clock信号,再翻转 [-preinvert]:先翻转fanin clock信号,再建clock [-combinational]: 指定...
create_generated_clock命令格式如下,主要是定义generated clock和master clock的关系: 登录后复制create_generated_clock[-name clock_name]\\-sourcemaster_pin\\[-master_clock clock]\\[-edge edge_list]\\[-edge_shift shift_list]\\[-divide_by factor]\\[-multiply_by factor]\\[-duty_cycle percent]...
create_generated_clock 需要指定源时钟(master clock)的master_pin,在CTS时,默认会去balance这两个时钟(即generated clock 和 master clock),让skew尽可能小。 而且在计算generated clock的clock latency时,会把从master clock pin 到generated clock pin之间的delay也考虑在内。 在工具中report_timing的时候,通过选项...
对应的命令为create_generated_clock -name gen_clk -source [get_pins clk_IBUF_BUFG_inst/O] -edges {1 3 4} -edge_shift {2.0 0.0 1.0} -add -master_clock [get_clocks "*"] [get_pins {shiftr_reg[13]/C}] 含义解释:-edge {1,3,4}即生成时钟的第1个上升沿位置,第1个下降沿位置,第2...
对应的命令为create_generated_clock -name gen_clk -source [get_pins clk_IBUF_BUFG_inst/O] -edges {1 3 4} -edge_shift {2.0 0.0 1.0} -add -master_clock [get_clocks "*"] [get_pins {shiftr_reg[13]/C}] 含义解释:-edge {1,3,4}即生成时钟的第1个上升沿位置,第1个下降沿位置,第2...
create_clock -name SYSCLK \ -period 2 \ [get_ports SYSCLK] create_generated_clock -name DIVIDE \ -source [get_ports SYSCLK] \ -divide_by 2 \ [get_pins FF1/Q] 考虑了edge/edge_shift的3分频实例 下面是3分频的实例,-edge选项中{3 5 9}分别表示SYSCLK的第3、5、9个时钟沿(clock edge)...
其中,create_clock命令比较简单易懂,格式如下 create_clock [-name clock_name] \ -period period_value \ [-waveform edge_list] \ [-add] \ [source_objects] 1. 2. 3. 4. 5. create_generated_clock [-name clock_name] \ -source master_pin \ ...
In this Timing Analyzer example, follow these options and descriptions to learn how you can use the create_generated_clock command to create generated clocks.
STA学习记录-时钟定义 (qq.com)1 generated clock的定义generated clock是有master clock衍生而来,master clock指的是由create_clock定义的clock当基于master clock生成一个新的clock时,可以将这个新的clock定义为generated clock举个栗子,如下图所示,UFF0的功能是将时钟CLKP进行二分频,那么便可以在UFF0的输出端...
[-edge_shift <args>] [-add] [-master_clock <arg>] [-quiet] [-verbose] <objects> This article discusses the common use cases of creating a generated clock. For more information on create_generated_clock, please refer to (UG903). ...