There is also the ability to configure the operating modes of the ADC by using digital registers. View 12-bit 1-channel 10 to 100 MSPS pipeline ADC full description to... see the entire 12-bit 1-channel 10 to 100 MSPS pipeline ADC datasheet get in contact with 12-bit 1-channel ...
ADC通道数量 10 Channel 计时器/计数器数量 3 Timer 处理器系列 ADUC845 电源电压-最大 5.25 V 电源电压-最小 4.75 V 单位重量 496 mg 可售卖地 全国 型号 ADUC845BSZ62-5 技术参数 品牌: ADI 型号: ADUC845BSZ62-5 封装: MQFP-52 批号: 21+ 数量: 50254 制造商: Analog Devices Inc....
产品种类: 模数转换器 - ADC RoHS: 是 系列: AD7997 安装风格: SMD/SMT 封装/ 箱体: TSSOP-20 分辨率: 10 bit 通道数量: 8 Channel 接口类型: I2C 采样比: 79 kS/s 输入类型: Single-Ended 结构: SAR SNR – 信噪比: 61 dB 最小工作温度: - 40 C 最大工作温度: + 85 C ...
A dual-channel ADC test chip is fabricated in 55 nm CMOS technology. The 10 bit ADC with on-chip reference generators dissipates 19.2 mW per channel from a 1.2 V supply. At an 80 MS/s sample rate, the measured spuriousfree dynamic range, signal-to-noise and distortion ratio, and ...
ADC通道数量 10 Channel 计时器/计数器数量 3 Timer 处理器系列 ADUC848 电源电压-最大 5.25 V 电源电压-最小 4.75 V 看门狗计时器 Watchdog Timer 单位重量 496 mg 可售卖地 全国 型号 ADUC848BSZ8-5 技术参数 品牌: ADI 型号: ADUC848BSZ8-5 封装: QFP52 批号: 19+ 数量: 3000 制造商...
adc_inst : component adc_core port map ( clock_clk => clk10, reset_sink_reset_n => '1', adc_pll_clock_clk => clk10, adc_pll_locked_export => pll_lock, command_valid => '1', command_channel => adc_command_channel, -- Set this on adc_command_ready command_startofpacket...
产品种类: 模数转换器-ADC RoHS: 是 系列: MAX1361 安装风格: SMD/SMT 封装/箱体: UMAX-10 分辨率: 10bit 通道数量: 2Channel/4Channel 接口类型: I2C 采样比: 150kS/s 输入类型: Differential/Single-Ended 结构: SAR 最小工作温度: -40C 最大工作温度: +85C 高度: 0.95mm 长度...
ADC通道数量: 10Channel 计时器/计数器数量: 3Timer 处理器系列: ADUC847 产品类型: 8-bitMicrocontrollers-MCU 工厂包装数量: 260 子类别: Microcontrollers-MCU 电源电压-最大: 3.6V,5.25V 电源电压-最小: 2.7V,4.75V ADI 集成电路、处理器、微控制器 ADUC847BCPZ8-3 8位微控制器...
component adc_qsys is port ( adc_1_command_valid : in std_logic := '0'; -- adc_1_command.valid adc_1_command_channel : in std_logic_vector(4 downto 0) := (others => '0'); -- .channel adc_1_command_startofpacket : in std_logic := '0'; -- .sta...
ADS5287 www.ti.com SBAS428D – JANUARY 2008 – REVISED JUNE 2012 10-Bit, Octal-Channel ADC Up to 65MSPS Check for Samples: ADS5287 FEATURES 1 •234 Speed and Resolution Grades: – 10-bit, 65MSPS • Power Dissipation: – 46mW/Channel at 30MSPS – 53mW/Channel at 40MSPS – ...