核心就是reset是用clk来同步,即只能在clk的沿到来时reset。library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;use ieee.std_logic_unsigned.all;entity dff_sr is port(clk:in std_logic;rst_n:in std_logic;din:in std_logic;qout:out std_logic);end dff_sr;architectur...
d,set_n,rst_n,q );input clk;input d;input set_n;input rst_n;output q;reg q;always@(posedge clk or negedge rst_n)begin if(!rst_n)q<= 1'b0;else if(!set_n)q<= 1'b1;else q<=d;end endmodule
免费查询更多带同步 复位功能上升沿触发的d触发器详细参数、实时报价、行情走势、优质商品批发/供应信息等,您还可以发布询价信息。
百度爱采购为您找到1426家最新的带同步复位功能上升沿触发的d触发器产品的详细参数、实时报价、行情走势、优质商品批发/供应信息,您还可以免费查询、发布询价信息等。