设计模为60的8421BCD计数器 ,文件名cntm60.vhd library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; ENTITY cntm60 IS PORT ( ci : IN std_logic; nreset : IN std_logic; load : IN std_logic; d : IN std_logic_vector(7 downto 0); clk : IN std_logic; co...
用带异步清零和同步置数的二-十进制集成同步计数器74160设计一个60进制计数器,要求计数器的状态变化为00-59,计数器的进位输出信号Z在计数器为59时输出为1。可以附加必要的门电路。请问如下实现该功能的电路有几个错误?A.1B.2C.3D.4的答案是什么.用刷刷题APP,拍照搜索答疑